搜档网
当前位置:搜档网 › 基于 FPGA 的 VGA 图像显示系统的设计与实现

基于 FPGA 的 VGA 图像显示系统的设计与实现

基于 FPGA 的 VGA 图像显示系统的设计与实现
基于 FPGA 的 VGA 图像显示系统的设计与实现

基于 FPGA 的 VGA 图像显示系统的设计与实现

周卓然,徐道连,吕凤飞,付少华

( 重庆大学光电技术及系统教育部重点实验室,重庆市 400030)

摘要: 文中依据 VGA 接口的设计原理,提出一种基于 DE2 - 70 的 VGA 图像显示控制系统,与传统的 VGA 控制系统相比,由于 FPGA 体积小,可重构,因此很适合小型便携式系统设备的设计,给出了 QuartusⅡ9.1 的仿真结果。在硬件平台上实现了 VGA 的汉字显示和彩条信号的显示。实验结果表明: 由 FPGA 作为处理器来控制 VGA 图像的和汉字的显示,能够达到预期的效果,克服传统 VGA 控制系统的弊端。

关键词: VGA; 汉字; FPGA; QuartusⅡ9. 1

0. 引言

VGA 汉字显示系统的应用范围越来越广泛,但传统的VGA 字符显示方案主要是通过 VGA 专用芯片来控制 VGA 接口来显示字符信息的,但 FPGA 在大规模嵌入式系统设计中优势明显,如管脚资源丰富,运行速度快,可以实现大规模的系统设计,并且使用芯片更少,可以降低成本,有利于小型化。同时由于现代 EDA 软件的大量应用,能够方便设计人员缩短设计周期,更方便地实现仿真。文中研制了一种基于 FPGA 的 VGA 图像显示控制系统,在 VGA 显示器上可以显示多种图像,可以代替传统的 VGA 专用芯片的功能,具有很好的应用前景。

1. VGA 显示原理

1.1 VGA 显示接口

计算机显示器有许多的接口标准,VGA 是一种计算机视频传输标准,目前绝大部分的计算机与外设之间都带有 VGA 显示接口。VGA 显示接口结构简单,性能较稳定,兼容性很强,时序易于实现在图像处理领域具有广泛的应用前景。

1.2 VGA 接口定义和时序控制

VGA 接口上面共有 15 条线,分为 3 组,分别用来处理输入模拟信号和行同步线( HS)和场同步线( VS),其中行同步线和场同步线联合起来控制VGA的显示时序。

如图 1 所示,该 VGA 接口当频率为 100 MHz 时,可以支持的分辨率最高可达1 600 × 1 200像素。其中,VGA 显示及时序信号的控制需要遵循 VGA 的工业标准( 对显示器,分辨率需要为 640 ×480,扫描频率为 60 Hz) ,需要注意的是,在调试过程中,如果显示器不满足此标准,则显示效果会与仿真波形出现偏差。通过 VGA_R,VGA_G,VGA_B输出相应的控制信号到前端显示处理设备中,在LPM_ROM 中存储的每一位数据对应相应的显示屏上的像素点的亮和灭。在显示器上显示汉字或者图像也就是按照汉字或图像的点阵图向显示器上输出 1 或 0 的高低电平,从而显示出所需要的汉字或图像。

图1 VGA 与FPGA 接口图图2 VGA行扫描、列扫描时序图

图2为 VGA 行扫描、列扫描的时序图,其中,最终输出的行、场同步信号必须遵循 VGA 时序标准从而产生相应的脉冲信号驱动 VGA 接口。图中,HSYNC 为行同步信号; VSYNC 为场同步信号; Pixel Freq 为时钟信号; DATA 为数据信号; DATA 只在图中的 Visible area 区域中才有效。

2. FPGA 控制 VGA 显示控制器设计

2.1 系统硬件框图

系统的硬件实现框图如图 3 所示。所设计的系统主要有时序信号模块、图像存储模块、用户选择模块等构成。FPGA 作为主控制器控制整个系统的运行,根据自顶向下的设计原则,可以将该系统划分为以下模块: 顶层模块、VGA 控制模块、存储功能模块、分频模块以及 RAM 读取控制模块。

图3系统硬件框图

系统的工作原理: 首先系统加电后,FPGA 上读出配置信息,配置结束后,FPGA 所要显示的汉字的字模信息初始化,存入系统的 ROM 中,时序由系统时钟产生,之后程序根据系统的时序信息来控制 VGA 接口,输出相应的行同步、场同步、以及RGB 颜色信息到显示器上。

2.2 顶层模块

顶层模块主要是负责各个模块之间数据信号和控制信号之间的连接关系,并且能接收 RAM 读取模块传来的汉字字模的信息数据流,根据 RGB 的定义,规定 VGA 色彩信号中: 000( 黑色) ,001( 蓝色) ,010 ( 绿色) ,011 ( 青色) 、100 ( 红色) 、101( 红色) 、101( 紫色) 、110 ( 黄色) 、111 ( 白色) ,需要注意的是该项目中采用的 VGA 芯片的控制 RGB 三基色每个像素信息的位数是十位。

2.3 时钟及 VGA 同步信号模块

该子模块主要作用是分配系统时钟到各个模块,同时能够产生需要的 VGA 同步信号,设计的要求是使 VGA 能够产生行同步信号和场同步信号驱动 VGA 接口。

2.4 LPM_ROM 存储模块

将需要显示的汉字信号发送到 VGA 接口,有 2 种方法: 一是对像素进行计数后,将计数数值( 像素信息) 直接赋值给 RGB( 颜色信号) ; 二是使用 FPGA 内部的存储资源来存储显示内容的像素信息,之后,经过程序将显示信号发送到 VGA 接口,实现汉字、图形、图像的显示。文中采用第二种方法,这样可以消除第一种方法不便于控制,且一旦出错,不易修改的缺陷。

2.5 汉字显示模式及 MATLAB 处理像素信息

系统所使用的开发工具是 QuartusⅡ9. 1,其内部集成了 IP core 的生成软件。使用 IP 核设计能够避免重复设计,又可以提高工作效率。这些 IP 核是根据 Altera 的 FPGA 器件的特点和结构设计的,直接运用 Altera FPGA 底层硬件语言来描述。

根据项目的要求,选择了单端口的 ROM 来存储汉字的图像信息,项目大概需要显示 50 ~ 80 个汉字,文中以显示 4 个汉字为例,将所用的 4 个汉字定制成 1 个 LPM - ROM,且 4 个汉字位于一行,根据 DE2—70 开发板内部 VGA 的控制芯片ADV7123 的特性,所以 RGB 颜色信号的分量每位需要 10 位数据,所以每个 LPM—ROM 的数据宽度是 64 位。显示的汉字是16 × 16 点阵,所以 LPM —ROM 的数据深度是 16 位。

在定制 LPM—ROM 时,要显示汉字,必须首先载入用字模生成软件生成的像素信息,系统中,应用了 Matlab 将 16 × 16 点阵汉字的字模像素信息生成初始化文件 MIF。也就是首先由字模软件生成相应汉字的字模,再将字模信息导入 MIF 文件。

MATLAB 程序主要是利用

fid = fopen( 'D: \hanzi. mif','wt') ;

fprintf( fid,’% d: % s; % s’,a( : ,ii) ,char( 13,10) ’)语句来将字模像素信息存储到到 MIF 文件中。其中 hanzi. mif 文件就是需要导入的初始化文件,下面给出实现的几个汉字的 MIF 文件信息:

WIDTH = 64;数据宽度

DEPTH = 16;数据深度

ADDRESS_RADIX = HEX;

DATA_RADIX = HEX;

CONTENT BEGIN

0: 0010010001002208 ;

1: 00F8008001001108 ;

2: 3F003FFE01001110 ;

3: 0020010020000100 ;

4: FFFE208001007FFE ;

5: 01002080FFFE4002;

6: 1FF0208001008004;

7: 11102FFC01001FE0;

8: 1FF0208002800040;

9: 1110214002800180;

a: 1FF021400440FFFE;

b: 0100222004400100 ;

c: 3FF8422008200100;

d: 0100441010100100;

e: FFFE880820080500;

f: 00001006C0060200;

END;

经过试验验证,汉字显示的效果很好。

3. 实验结果

3.1 实验开发工具

( 1) 仿真软件: QuartusⅡ9. 1;

( 2) 开发语言: 硬件描述语言 Verilog;

( 3) 实验开发板: Altera DE2 - 70。

3.2 VGA 同步信号的仿真

FPGA 提供的外部时钟是 50 MHz,而 VGA 显示需要的时钟 25. 175 MHz,所以在设计上首先对外部时钟进行二分频,得到 25 MHz 的时钟频率,这个频率与 25. 175 MHz 很接近,以该时钟为标准,每行为 800 个时钟周期,每场为 525 行( 即是 800 × 525 个时钟周期),行同步、场同步信号得到的仿真结果如图 4 所示。其中 HS 为行同步信号,R、G、B 为三基色信号,VS为场同步信号。

图4时序仿真图

利用 QuartusⅡ9. 1 内部的仿真软件对系统进行仿真,得到的仿真结果如图 4 所示。

通过仿真图可以观察出 blank、vga _ clk、vga _ sync 是控制VGA 芯片 ADV7123 的控制信号,行同步信号( hsync) 、场同步信号( vsync) 有效值都为‘1’,并且行与场都处于有效区域 valid 内( 由水平有效区域的宽度和垂直有效区域的宽度逻辑与得到) 时,输出的有效区域 valid 的有效值为‘1’,此时可以把汉字的信息输出,从仿真时序图可以观察出,当满足输出的条件时,可以从定制的 ROM 中调用存储的汉字信息在时序图中用 rom-data 表示存储的汉字信息。该项目采用的是蓝底白字的显示,蓝底 RGB = 001,白字 RGB = 111 表示,由仿真图 vga_b 可知其值全部为 1023,表示的十位为‘1111111111’。而 vga_r 和 vga_g只有在汉字信息输出时,输出才是十位的‘1’,十进制表示就是1023,否则输出的是十位的‘0’,从而实现蓝底白字的显示。

3.3 汉字和彩条显示效果

利用 FPGA 内部的 LPM - ROM 来存储汉字的字模像素信息,通过程序读取信息后,将其传送至VGA 接口,项目定制LPM - ROM 来存储汉字点阵信息,可以显示多个汉字。项目显示了“重”、“庆”、“大”,“学”4 个字,得到的效果如图 5、图 6、图7 所示,实验结果达到了预想的要求。如果想显示更多的汉字,可以定制更多的 LPM - ROM,该项目中的汉字更改方便,同时也可以调整汉字显示的位置。

图5 汉字显示效果图6 竖彩条显示效果图7 横彩条显示效果

4. 结束语

用FPGA 来控制 VGA 接口,改变传统的 VGA 图像显示方法的缺点,如需要控制器不断的对所传输的数据信号进行控制,造成了资源浪费。而采用 FPGA 设计的 VGA 显示控制器,不但可以使汉字的显示可以脱离微机的控制,同时减小了系统的体积,可移植型好,系统升级也非常方便,对于各种便携式的设备、小型嵌入式系统的设计和实现具有重要的工程意义。

引用:

[1]李国刚,余俊,凌朝懂.基于 FPGA 和 VGA 的图形控制器的实现方法[J].信息技术,2006-07.[2]谢磊. VGA 图像控制器的设计与实现[J].新乡学院学报,自然科学版,2009-06.

[3]袁堂青,张玉璘.基于 FPGA 的 VGA 汉字显示系统设计与实现[J].济南大学学报,自然科学版,2011-01.

[4]王亮,李正,宁婷婷,等. VGA 汉字显示的 FPGA 设计与实现[J].计算机工程与设计, 2009-02.[5]陈继焱,何东升.基于 FPGA 的高分辨率雷达图像采集系统[J].仪表技术与传感器,2007-09.

参考文献:

[1] 蔡方凯, 陈永彬. 高速面阵CCD图像采集系统的设计[J]. 电信工程. 2009-08.

[2] 蔡肯, 梁晓莹. VGA控制器IP核的FPGA实现[J]. 电脑知识与技术(学术交流).2007-12.

[3] 李同宇, 任文平, 贾赞. 基于FPGA的图像裁剪电路的设计与实现[J]. 电子技术. 2010-01.

[4] 张旭, 王彬. 一种基于FPGA的实时图像转换控制器设计[J]. 电子技术应用. 2007-10.

[5] 王永, 何庆华, 田逢春, 徐江, 冯正权. 基于FPGA的脑机接口实时系统[J]. 电子技术应用. 2009-04.

[6] 任文平, 贾赞, 申东娅, 李同宇. 基于FPGA的图像处理电路的设计与实现[J]. 电子技术应用. 2010-02.

[7] 方鹏, 张红雨, 赵明辉. 基于ARM处理器S3C2440的VGA显示技术[J]. 电子设计工程. 2011-11.

[8] 朱颖达, 杨鸣. 实现高分辨力图像传感器高帧率输出的方法[J]. 光学仪器. 2010-06.

[9] 吴康东, 刘桂华, 程海狮. 一种改进型surendra背景更新算法的FPGA实现[J]. 电子技术应用. 2011-03.

[10] 朱丽婷, 赵哲. S3C2440的嵌入式VGA接口设计[J]. 杭州电子科技大学学报. 2010-05.

二次引用:

[1] 谭会生, 桂卫华, 刘展良. 基于EDA技术的图像边缘检测协处理器的设计[J]. 包装工程.

2004-06.

[2] 吴蓬勃, 张启民, 王朝阳, 牛斗. 基于FPGA的VGA图像控制器设计[J]. 东北电力大学学报.

2006-04.

[3] 曹允. 基于FPGA的VGA时序彩条信号实现方法及其应用[J]. 电子工程师. 2002-07.

[4] 邢炬慧. 液晶汉字显示的原理与设计[J]. 电子工程师. 2007-11.

[5] 邓春健, 王琦, 徐秀知, 冯永茂, 郑喜凤. 基于FPGA和ADV7123的VGA显示接口的设计和应用[J]. 中国稀土学报. 2006-04.

[6] 朱文伟, 许忠仁. 基于FPGA的VGA图像控制器的设计与实现[J]. 贵州大学学报(自然科学版). 2009-02.

[7] 李国刚, 余俊, 凌朝东. 基于FPGA的VGA图形控制器的实现方法[J]. 信息技术. 2006-07.

[8] 杨杰, 穆伟斌, 沈焕泉. 基于FPGA的VGA控制器设计与实现[J]. 齐齐哈尔大学学报(自然科学版). 2008-06.

[9] 王亮, 李正, 宁婷婷, 刘瑾, 陈金强, 关永. VGA汉字显示的FPGA设计与实现[J].计算机工程与设计. 2009-02.

[10] 张伟, 包乌日吐, 闫玉娥. FPGA内部块RAM的应用技巧[J]. 微处理器. 2006-06.

基于FPGA的VGA接口显示的设计与实现

编号 基于FPGA的VGA接口显示的设计与实现Design and implementation of VGA interface based on FPGA display 学生姓名王雪 专业控制科学与工程 学号S120400520 指导教师杨晓慧 学院电子信息工程学院 二〇一三年六月

摘要 利用现场可编程逻辑器件FPGA产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于彩色等离子显示器的电路开发,方便彩色等离子显示器驱动控制电路的调试。FPGA芯片具有可靠性高、编程灵活、体积小等优点,实验经过软硬件调试,最终在显示器上显示彩条正确图像。利用此原理,可以设计更多的彩色图像,且可将采集的图像进行实时显示,将此作为信号源,应用于显示器电路的开发或某些嵌入式系统中,进行视频设备的调试与性能分析或系统中信号处理模块的调试与性能分析等。 关键词:FPGA VGA接口时序控制彩条信号

Abstract VGA-timing signals and color strip image were obtained by using FPGA.The signals were used as sources when developing the circuits of color plasma display panel, and it took great convenience to the debugging of the driving and controlling circuit of color plasma display panel.The FPGA chip has the advantages of high reliability, small volume, flexible programming,just because of this,the test could achieve the desired results,display scree displays color bar signals.According to this principle, we can design color image more, and make the image real-time display, such as the signal source, used in display circuit development or some embedded systems, video equipment debugging and performance analysis of the system signal processing module debugging and performance analysis. Keywords:FPGA, VGA interface,timing control, color bar signals

视频显示系统功能及产品介绍

1、会议与演出扩声系统 1.1 美国Peavey(百威)产品工程案例 美国百威公司(Peavey Electronics)创立于1965年,美国PEA VEY是世界最大的乐器和专业音响制造商,它的产品系列化、成套化、专业化,是我国改革开放初期,最早进入我国、最能代表当今美国科技水平的专业音响产品。在世界各地以及中国都占有近60%的专业音响市场,曾在法国、德国、丹麦、荷兰、英国以及美国获得200多项相关电子的设计、制造、专利性大奖。如今,百威公司是美国国家电子工业对外出口60个成员之一,属欧盟成员以及世界贸易组织(WTO)出口商成员之一。 百威品牌有着40年的辉煌历史,深受世界顶级音乐演奏家Nickelback、Slipknot、Kenny Chesney及3 Doors Down等的青睐。百威并且和传奇吉他演奏家Edward VanHalen、Joe Satriani成功设计出世界最顶级签名系列产品。1999年,Peavey Electronics成功收购了业界知名工厂Crest Audio,让Peavey产品技术优势和生产能力大大提高。 百威高科技产品一直为我国各个领域各条战线服务,高品质产品、完善的服务,受到广大用户的青睐。百威专业音响是全系列化产品, 其中包括调音台、均衡器、混响器、延时器、功率放大器、音箱、话筒、连线等整个音响锁链的各个环节,各款系列一应俱全。美国本土的密西西比州(MISSISSIPPI)的30多个百威工厂在技术和品质方面提供了最可行的保证,令用户充满信心,这是百威成功的重要原因之一。 1.2 百威主要成就包括: l 世界驰名品牌Peavey,MediaMatrix,Architectural Acoustics,PVDJ及Crest Audio 2 世界各国颁发的200种乐器设计及音响科技专利 3 提供超过2000款产品 4 畅销于世界上130多个国家 5 每年推出80至100种新产品 6 世界著名音乐演奏家的赞赏 百威的音响和音频通信系统用户遍布世界各地,在各个不同领域发挥着科技先导的作用,美国的白宫、国会、参议院、纽约的证券交易所、美国国家大剧院、

基于FPGA的快速图像处理系统的设计毕业设计论文

基于FPGA的快速图像处理系统的设计 摘要 我们评估、改进硬件、软件架构的性能,目的是为了适应各种不同的图像处理任务。这个系统架构采用基于现场可编程门阵列(FPGA)和主机电脑。PC端安装Lab VIEW应用程序,用于控制图像采集和工业相机的视频捕获。通过USB2.0传输协议执行传输。FPGA控制器是基于ALTERA的Cyclone II 芯片,其作用是作为一个系统级可编程芯片(SOPC)嵌入NIOSII内核。该SOPC集成了CPU,片内、外部内存,传输信道,和图像数据处理系统。采用标准的传输协议和通过软硬件逻辑来调整各种帧的大小。与其他解决方案作比较,对其一系列的应用进行讨论。 关键词:软件/硬件联合设计;图像处理;FPGA;嵌入式

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

基于FPGA的VGA图像显示

基于FPGA的VGA图像显示 1、VGA显示原理 VGA标准是一种计算机显示标准,最初是由IBM公司在1987 年提出的,分辨率是640*480。VGA 接口也叫做D_Sub 接口,是显卡上输出模拟信号的接口。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的D/A 转换器转变为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。 常见的彩色显示器一般由阴极射线管(CRT) 构成,彩色由GRB(Green Red Blue) 基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生 GRB 基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT 对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。 要实现VGA显示就要解决数据来源、数据存储、时序实现等问题,其中关键还是如何实现VGA时序。VGA的标准参考显示时序如图1所示。行时序和帧时序都需要产生同步脉冲(Sync a)、显示后沿(Back porch b)、显示时序段(Display interval c)和显示前沿(Front porch d)四个部分。 2、方案设计 由VGA的显示原理可知,该任务的关键是VGA时序控制部分和汉字图形显示部分: (1)VGA时序控制部分,采用FPGA本地50MHz时钟,根据所需时序要求,经Verilog 语言编写的计数模块分频而得到,该部分十分重要,如果产生的时序有偏差,那么就会使汉字图形无法显示或显示结果混乱;

基于FPGA图像压缩和解码

基于FPGA的图像压缩传输与解码 硬件设计部分 一、硬件完成的功能定义 1.使用FPGA普通端口作为GPIO使用。 2.使用FPGA开发板的50MHZ时钟分频后25MHZ作为VGA输出时钟。 3.时钟频率25MHZ完成行扫描信号计数。 4.时钟频率25MHZ完成祯扫描信号计数,并完成祯计数。 5.在行,场信号有效范围内进行VGA数据输出。 二、顶层模块划分 1.顶层的输入输出定义

2.顶层模块输入、输出之间的时序关系: vag输入时钟50mhz,分频产生25mhz,作为vga输出时钟,PLL倍频,200mhz作为cpu时钟,UART接收来自串口数据。软件读取数据,处理 后,输出数据。 软件设计部分 一、软件功能定义1 获取发送数据, 二:软件设计的总体设计及结构图

三:软件详细设计 1:用matlab取图像的reb值,将取出的rgb值写入文件。 2:读取rbg值,将十六进制数表示的rgb值转化为以该asc||值对应的字符(减少信息传输量),将转化后的的字 符写入文件中。 3:对转化后的文件数据进行压缩,发送目标文件格式为,文件头信息,发送文件的起始信息,用来判定开始发 送数据,用三个字节,信息数据为GCL,一个字节表示 最后一个字节的有效位,最后一个字节有效位为0bit- 8bit之间,一个字节表示文件中rgb的种类,0-255,四字节,表示整个压缩文件的大小,其后数据格式为, 字符及字符对应的出现次数,即字符频度信息,字符 频度之后对应的是压缩信息。

4:具体软件的实现: 1.Matlab取图片rgb值,写入rgb.txt文件中,处理得到 对应字符文件,读取文件统计rgb值得种类及每种rgb 值出现的次数。 2.根据统计rgb值得种类及次数,生成huffman树。 3.由生成的huffman树,递归生成huffmancode。 4.根据reb.txt文件内容和huffmancode生成压缩信。 四、软件功能测试 1:手工构造最简单的huffman树,huffmancode,compress code。 2:显示软件统计字符及频度与最小模型是否一样。 3:显示软件生成的huffmantab与最小模型huffmantab是否一样。 4:显示软件递归生成的huffmantab code与最小模型huffmantab code是否一样。 5显示软件递归生成compresse code与最小模型compress code 是否一样。 一:软件功能定义2 UART串口发射接收。该模块主要用于数据发送与接收,UART_RXD作为接收端,UART_TXD作为发射端, 二:软件设计的总体设计及结构图 三:软件详细设计 1:初始化UART,将UART设置为单字节触发模, UART->STATUS.BITS.RRDY = 0; UART->SIATUS.BITS.TRDY =0 ; UART->DIVISOR.WORD=(unsigned int)(ALT_CPU_FREQ/baudrate+0.5);

视频显示系统工程技术要求规范

视频显示系统工程技术规Code for technical of video display system engineering

目次 总贝[| ...................................... ( 1 ) 术语.......................................... (2 ) 2.1术语 (2) 2.2缩略语 ........................................ (6 ) 视频显示系统工程的分类和分级..................... (7 ) 3.1LED视频显示系统的分类和分级................... (7 ) 3.2投影型视频显示系统的分类和分级.................... (8 ) 3.3电视型视频显示系统的分类和分级.................... (9 ) 视频显示系统工程设计 (10) 4.1一般规定 (10) 4.2视频显示屏系统设计 (11) 4.3传输系统设计 (14) 4.4控制系统设计 (17) 4.5辅助系统设计 (18)

视频显示系统工程施工 (21) 5.1施工准备 (21) 5.2施工 (22) 5.3系统调试 (25) 视频显示系统试运行 (30) 视频显示系统工程验收 (31) 7.1 —般规定 (31) 7.2初步验收 (31) 7.3工程竣工验收条件与验收组织 (32) 7.4工程竣工验收 (33) 附录A工程施工质量控制记录 (35) 附录B工程检测记录 (42) 附录C工程验收记录.................. * ........... (44) 本规用词说明 (5) 附:条文说明 (47)

基于FPGA的图像预处理系统

基于FPGA的图像预处理系统 由于获取图像的工具或手段的影响,使获取图像无法完全体现原始图像的全部信息。因此,对图像进行预处理就显得非常重要。预处理的目的是改善图像数据,抑制不需要的变形或者增强某些对于后续处理来说比较重要的图像特征。 通常根据预处理目的的不同,把预处理技术归为图像增强技术和图像分割技术两类。图像对比度处理是空间域图像增强的一种方法。由于图像灰度范围狭窄会使图像的对比度不理想,可用对比度增强技术来调整图像灰度值的动态范围。 图像处理通常采用软件或者DSP(数字信号处理器)来实现。如果利用软件实现,运行时会耗费较多的PC资源,而且算法越复杂时耗费的资源就越多,对于需要高速处理的情况就不适用了;而如果采用DSP来实现,提高并行性的同时指令执行速度必然会提高,较高的指令速度可能导致系统设计复杂化,并增加功耗和成本。新一代的FPGA集成了CPU或DSP 内核,可以在一片FPGA上进行软硬件协同设计,为实现SOPC提供了强大的硬件支持。本文介绍的是利用FPGA并行处理和计算能力,以Altera FPGA Stratix EP1S40为系统控制的核心实现的SOPC。 系统硬件平台 采用基于PCI总线的FPGA开发平台,结构如图1所示。板上主要硬件资源有:PCI 桥、FPGA、SRAM和SDRAM等其他外设。 图1 基于PCI总线的FPGA开发平台 PCI桥采用PCI9656桥接芯片实现,用来连接PCI总线与32位/50MHz的板级局部总线;FPGA包括41 250个逻辑单元,14个DSP模块,112个嵌入式乘法器,4个增强型PLL(锁相环)和4个快速型PLL等逻辑资源;SDRAM用来存储图像数据。Avalon总线模块完成整个片上可编程系统组件之间的互连。Avalon总线是SOPC设计中连接片上处理器和其他IP 模块的一种简单总线协议,规定了主、从构件之间的端口连接以及通信时序关系,SOPC Builder来完成整个系统(包括Avalon)模块的生成和集成。丰富的板级资源可以满足图像的高速预处理需求。 系统工作原理 设计采用DMA方式快速传输图像数据,这样数据的传输和处理可以并行起来,使得系统的运行效率大为提高。PC与PCI板卡之间建立软件环境,在该环境下利用DMA通道把图像数据从主机通过PCI总线、PCI桥、板级局部总线和Avalon总线传到SDRAM存储起来,然后送入算法逻辑模块进行处理,处理完成后再把图像数据存储到SDRAM中,最后再用DMA通道把图像处理结果传送回主机。 建立片上系统中的关键模块

基于FPGA的VGA显示设计报告

正文 一,VGA时序标准 VGA是一种常用的显示输出接口,采用行场扫描控制结合RGB三色合成原理,输出 显示信号。每个VGA接口为15针接口,分三行排布,每行5针。如图所示: 图1.1 VGA接口 15针并未全部使用,有效的信号线共5根,即红绿蓝三基色信号线:R,G,B,每线电压从0V到0.71V变化,表示无色到饱和,依据电平高低,显示颜色的饱和程度。行同步控制信号,Hsync,控制每行扫描像素的有效和失效。场同步:Vsync,控制场方向,即整个图像显示过程的时间长度,场同步中的显示部分的时间长度,等于每行扫描时间的总和。 在不同刷新频率下,显示每个像素的时间是不同的,相同刷新频率下,每个像素显示时间是固定的,所以,不同的每个像素写入时间,导致了分辨率的不同。因为VGA的显示是逐行扫描,每行从左到右扫描,到了行尾,回归到下一行的行头,继续向尾部扫描。所以,显示原理是逐次写入每行的像素数据,直到整幅图像显示成功为止。 VGA显示的数据是不能锁存的,所以必须一次又一次的连续输入数据,72Hz的刷新率下,一秒钟显示72幅图像,所以,需要连续写入72幅图像,才能达到一秒的显示效果。所以,VGA显示图像,要反反复复写入图像数据,才能得到持续的显示效果。 图1.2 VGA接口线序 VGA显示,无法做到类似于TFT液晶屏的定点写入,VGA是扫描式暂时显示,所以时序显得尤为重要,时序出现失误,图像会出现走形,无法达到准确效果。而显示的时序控制主要依靠两条数据通道:行同步和场同步,即Hsync和Vsync,其控制了扫描显示的起点和终点,同时控制扫描起点的时间,通过时间的控制,达到确定的显示效果。 具体的控制时序图如下:

基于FPGA的数字图像处理

龙源期刊网 https://www.sodocs.net/doc/8e15604396.html, 基于FPGA的数字图像处理 作者:李科唐波张玉 来源:《科技资讯》2012年第02期 摘要:随着数字多媒体技术的不断发展,数字图像处理技术被广泛应用于航空航天、通信、医学及工业生产等领域中。图像处理系统一般包括两个部分:图像采集部分和图像处理部分。图像采集部分由专用的视频处理器,图像缓存和控制接口电路组成。图像处理部分可以是计算机,也可以是专用图像处理器件,或者是两者的结合。由于底层图像处理的数据量很大,要求处理速度快,但运算结果相对比较简单,以(FPGA作为主要处理芯片的图像处理系统非常适合于对图像进行处理。 关键词:FPGA数字图像数据处理 中图分类号:TP2文献标识码:A文章编号:1672-3791(2012)01(b)-0032-01 数字图像处理的特点是处理的数据量大,处理非常耗时,本文研究了在FPGA上用硬件描述语言实现图像处理算法,通过功能模块的硬件化,解决了视频图像处理的速度问题。随着微电子技术的高速发展,FPGA为数字图像信号处理在算法、系统结构上带来了新的方法和思路。 图像处理系统的发展大致分为三个阶段。 第一阶段大体上是20世纪60年代末到20世纪80年代中期,当时的代表产品是美国和英国的一些公司推出的各种图像计算机以及图像分析系统。 第二阶段是从20世纪80年代中期到20世纪90年代初期,该阶段的特点是小型化,外部结构不再是机箱式而是插卡式。 第三阶段是从20世纪90年代初开始,这阶段的产品出现两大类,一种仍是采用插卡式,随着PCI,总线技术的成熟,采用PCI,总线的产品逐步取代采用ISA总线接口的产品。 随着近些年来多媒体技术的发展,人们对视频信息的需求愈来愈强烈,图像采集与处理显得越来越重要。依托计算机技术、通信技术和网络条件的发展以及数字信号处理的快速发展,图像处理系统出现以下发展趋势。 (1)随着硬件的发展,图像处理系统的性能会越来越高,价格会逐步降低。 (2)图像处理系统的功能都会集成在一个便于携带使用方便的电子设备上,不需要PC和各种辅助设备。

视频显示系统工程技术规范

v1.0可编辑可修改 4 视频显示系统工程技术规范 Code for technical of video display engin eeri ng system

目次 视频显示系统工程技术规范 (1) 术语 (5) 5视频显示系统工程施工 施工准备 (28) 附录B工程检测记录 B (49) 附录C工程验收记录 (44)

本规范用词说明 46 1总则 (52) 2术语 术语 (52)

1. 为规范视频显示系统工程的设计、施工和验收,保证工程质量,促 进技术进步,获得良好的社会效益、经济效益和环境效益,制定本规范。 1.0. 2本规范适用于视频显示系统工程的设计、施工及验收。 1.0. 3视频显示系统工程的设计、施工及验收,应遵循国家有关法律、法规和政策,密切结合自然条件,合理利用资源,兼顾使用和维修,做到技术先进、经济合理、安全适用。 1. 0. 4 视频显示系统工程中应选用技术先进、经济适用的定型和经检 测合格的产品。 1.0. S视频显示系统工程的设计、施工及验收除应执行本规范夕卜,尚

应符合国家现行有关标准的规定。

2 术语 术语 2.1.1 视频显示系统video display system 由视频显示屏系统、传输系统、控制系统和辅助系统组成,可实现一路或多路视频信号苘时、部分或全屏显示。 2.1.2 视频显示屏单元video display screen unit 在视频显示屏系统中可独立完成画面显示功能的基本单位,一般为矩形〈〈 2.1.3 视频拼接显示屏(墙)video display screen together (wall) 由显示屏单元物理拼接而成,是图像显示区域的总称。显示屏单元间依靠适当的电气连接(包括信号传输路径),由控制系统进行控制,可单独显示视频画面,或显示画面的某一部分,还可与系统中的其他单元配合组成完整的画面。? 2.1.4 传输系统transmission system 在视频显示系统中,将需显示的信号传输至各显示屏单元的信号传输部分》

基于FPGA的VGA显示 论文

VGA显示器控制电路 论文

前言 VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大的降低成本,还可以满足生产实践中不断变化的用户需要,产品升级换代方便迅速。 在本设计中采用Altera公司的EDA软件工具Quartus II,并以Cyclone II系列的FPGA的器件作为主实现硬件平台的设计。

一、FPGA的原理 FPGA 是Filed Progranmmable Gate Array的缩写,即现场可编程逻辑阵列。FPGA是在CPLD的基础上发展起来的新型高性能可编程逻辑器件它一般采用SRAM工艺,也有一些专用器件采用Flash工艺或反熔丝(Anti_Fuse)工艺等。FPGA的集成度很高,其器件密度从数万系统门到数千万系统门不等,可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度的高端数字逻辑电路设计领域。FPGA的基本组成部分有可编程输入/输出单元,基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元、内嵌专用硬核等。FPGA 的主要器件供应商有Xilinx、 Altera、 Lattice、 Actel和 Atmel 等。 二、 VGA转换接口的简单描述 本设计另外自制VGA接口电路。 VGA时序控制模块是整个显示控制器的关键部分,最终的输出信号行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。对于普通的VGA 显示器,其引出线的共含5个信号:G,R,B(三基色信号),HS(行同步信号),VS(场同步信号)。在五个信号时序驱动时,VGA显示器要严格遵循“VGA工业标准”,即640Hz×480 H z×60Hz模式。 下图(1)为VGA显示控制器控制CRT显示器 VGA(Video Graphic Array)接口,即视频图形阵列,也叫做D-Sub接口,是15针的梯形插头,分3排,每排5个,传输模拟信号。VGA接口采用非对称分布的15针连接方式,其工作原理:是将显存内以数字格式存储的图像(帧)信号在RAMEAC里经过模拟调制成模拟高频信号,然后再输出到显示设备成像。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像生成图像。而对于LCD、DLP扥数字显示设备,显示设备中需配置相应的A/D (模拟/数字)转换器,将模拟信号转变为数字信号。在经过D/A和A/D2次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失会使显示效果略微下降。VGA接口的引脚分配如下图(1)所示

基于FPGA的图像处理现状分析

基于FPGA的图像处理现状分析 摘要:本文首先介绍了现场可编程门阵列(FPGA)的基本特点和设计流程。针对图像处理中处理速度的瓶颈问题,本文回顾了基于FPGA的图像处理算法,包括图像的采集和压缩存储、预处理、图像检测、视频图像的实时处理和显示等。这些实现算法,在提高图像的处理速度上都有明显的效果,在图像的实时处理方面具有现实的意义。 关键字:FPGA,图像处理,实时,硬件设计. 1引言 随着数字多媒体技术的不断发展,数字图像处理技术被广泛应用于航空航天、通信、医学及工业生产等领域中,新开发的产品在图像存储容量、图像质量、图像处理速度等方面有了新的要求。数字图像处理,一般是通过对像素的一些运算提高图像质量,在图像处理过程中,虽然处理算法简单,但参与运算的数据量大,数据需多次重复使用,因此,图像处理往往是图像处理系统中最为耗时的环节,对整个系统速度影响较大。在当前图像处理算法研究已经很成熟的背景下,提高图像处理的时效性有很大的应用前景。随着微电子技术的高速发展,FPGA 为数字图像信号处理在算法、系统结构上带来了新的方法和思路。由于图像中的所有元素均可施以同样的操作,存在固有的并行性,非常适合于映射到FPGA架构中由硬件算法实现,使得图像的处理速度大大加快。对于数字图像处理,底层图像处理的数据量很大,要求处理速度快,但运算结果相对比较简单,以FPGA作为主要处理芯片的图像处理系统非常适合于对图像进行处理。 2现场可编程门阵列(FPGA)概述 FPGA(Field Programmable Gate Array)是一类高集成度的可编程逻辑器件,起源于美国的XILINX公司,该公司于1985年推出了世界上第一块FPGA芯片。FPGA技术结合了微电子技术、电路技术、EDA技术,使设计者可以集中精力进行所需逻辑功能的设计。与专用集成电路ASCI(Application Specific Integrated Circuit)相比,FPGA具有灵活性高、设计周期短、成本低、风险小等优势,因而得到了广泛应用。 随着工艺的发展和市场需求的扩大,超大规模、高速、低功耗的新型FPGA不断推陈出新。新一代的FPGA甚至集成了中央处理器(CPU)或数字处理器(DSP)内核[1],在一片FPGA开发板上进行软硬件协同设计,为实现片上可编程系统提供了强大的硬件支持。 目前,FPGA的主要发展动向是实现片上可编程系统,芯片朝着高密度、低电压、低功耗方向发展,实现在速度和集成方面的进一步提高。迄今为止,FPGA的品种繁多,主要有XILINX公司的Spartan、vertex、Atrix-7、Kintex-7系列,以及ALTERA公司的FIEX系列等。根据FPGA基本结构的不同,可以将其分为基于乘积项技术的FPGA和基于查找表技术的FPGA 两种。 FPGA主要有6个部分组成:可编程输入/输出单元、基本可编程逻辑单元、完整的时钟管理、内嵌SRAM、丰富的布线单元、底层嵌入功能单元和内嵌专用单硬件模块等。基于FPGA

智慧城市基础平台视频监控及大屏显示系统建设方案

智慧城市基础平台视频监控及大屏显示系统建设方案 “智慧城市”视频监控系统,是一个的数字化、网络化、智能化视频监控系统,利用运营商的宽带网络和ADSL网络,将分散、独立的图像采集点进行联网,实现跨地域、全范围内的统一监控、统一存储、统一管理、资源共享,是监督与指挥中心了解全市城市市政、环卫、治安状况的重要窗口。能及时准确地掌握所监视路口、路段周围的情况等,为城市管理决策者提供一种全新、直观的管理工具,提高工作效率。 本项目的视频监控系统采用将对XX重点地段实时监控,选择合理方案,调用公安、交通等监控资源,实现监控资源的整合。 XX智慧城市视频监控系统示意图

大屏显示系统建设 在XX智慧城市指挥中心设立了大屏显示系统,便于智慧城市指挥中心和有关领导更加清楚地了解城市的状况和相关信息。 通过大屏幕可直观地掌握城区各个社区或万米单元的城市部件信息、问题处理信息、案卷信息、评价信息等全局情况,了解每个社区、监督员、部件等个体的情况,实现对城市管理全局情况的总体把握。同时,系统还整合“全球眼”、公安和特定区域的视频监控资源,支持和采用视频监控技术,对重点部位进行监控。 系统主要功能: 1)具备案卷信息和地图信息一体化管理功能,并满足大 屏幕管理显示要求; 2)能够实时定位问题和监督员的地理位置; 3)能够实时显示监督员的在岗情况及位置信息; 4)能够实时分类显示问题的当前状态信息; 5)具有查询监督员详细信息功能; 6)具有查询问题的图片、办理过程等详细信息功能; 7)实现地图与属性信息的互动查询; 8)实现图文一体化的综合评价结果大屏幕展示功能; 9)能够显示视频控制系统指定视频终端信息。 本方案中,市级办公场所采用DLP投影显示墙,区级办

基于FPGA的图像差分处理

基于FPGA的图像差分处理 1背景知识 差分图像在许多领域得到了广泛的应用,比如:视频压缩,生物医学诊断,天文学,遥感,人脸识别等。 2 matlab仿真MATLAB源码:Main.mI = imread(flower.bmp); figure, imshow(I);I_gray = rgb2gray(I);figure,imshow(I_gray);Id = mipcentraldiff(I_gray,dx); figure, imshow(Id);Mipcentraldiff.mfunction dimg = mipcentraldiff(img,direction)% MIPCENTRALDIFF Finite difference calculations %% DIMG = MIPCENTRALDIFF(IMG,DIRECTION)%% Calculates the central-difference for?a given direction% IMG : input image% DIRECTION : dx?or dy% DIMG : resultant image%% See also MIPFORWARDDIFF MIPBACKWARDDIFF MIPSECONDDERIV% MIPSECONDPARTIALDERIV% Omer Demirkaya, Musa Asyali, Prasana Shaoo, ... % Medical Image Processing Toolboximg = padarray(img,[1 1],symmetric,both);[row,col] = size(img);dimg = zeros(row,col);switch(direction)casedx,dimg(:,2:col-1) = (img(:,3:col)-img(:,1:col-2))/2;casedy,dimg(2:row-1,:) = (img(3:row,:)-img(1:row-2,:))/2;otherwise,disp(Direction is unknown);enddimg = dimg(2:end-1,2:end-1);仿真结果: 图1 RGB原图 图2 gray 图3 central_diff 3 FPGA设计 图4 基于串口传图的中心差分 如图4所示,我们将RGB565格式转化为Ycbcr格式,Y通道进入中心差分模块,完成中心差分算法。

基于FPGA的高速图像处理系统的设计

基于FPGA的高速图像处理系统的设计 摘要: 在本文中,设计了一个高速图像处理系统,是为了解决这样的问题,如出现在车载计算机图像处理中的低系统集成,低速的处理过程。通过配置Nios II软核CPU和一些基于主要硬件FPGA的图像预处理,处理和显示的功能模块和设计的系统软件,使得该系统实现了图像的采集,记忆和重叠功能。由于采用可编程芯片和并行处理技术,该系统集成度高,好维修,图像处理速度快、实时性强。 关键词:图像处理,FPGA,Nios II CPU。 I.介绍 近年来,车载计算机中存在的主要问题集中在两个方面。首先,在使用低功率损耗的PowerPC CPU的状态下,对于图像的采集和显示,一个集成板是必需的。其次,随着视频图像和红外热像仪的广泛使用,还有电子一体化的发展,应该设计出一个高速的图像处理系统。 为了解决这两个主要的问题,作者设计了一个基于FPGA的高速图像处理系统用来识别重叠的多通道图像信息。功能模块,比如图像采集,处理和显示,都可以在一个单一的FPGA芯片上实现,它减少了外围电路,提高整个系统的性能。因为并行处理技术,处理速度和实时性都大大的提高。

II.图像处理算法分析 A.基于双线性插值的图像放大 基于像素的放大倍率的方法原理简单、快速,但它只是复制原始像素的邻域。随着放大系数增大,图像会出现明显的块锯齿,不能保留原始图像的边缘信息。这个问题是可以通过双线性插值来解决。双线性插值可以消除锯齿,保留原始图像的边缘信息和获得更好的视觉效果。 图1.原始图像(略) 图2.放大图像(略) 图1是原始图像,其中f ij,f i,j+1,f i+1,j,f i+1,j+1是相邻的像素块。图2是在水平方向上放大K倍,在垂直方向放大L倍的图像。f ij,f i,j+1,f i+1,j,f i+1,j+1在放大图像中只改变位置但像素值保持不变。因此,我们可以得到以下方程:

视频显示系统工程技术规范

视频显示系统工程技术规范 视频显示系统工程技术规范Code for technical of video display system engineering

目次 总贝[| ...................................... ( 1 ) 术语.......................................... (2 ) 2.1术语 (2) 2、2缩略语 ....................................... (6 ) 视频显示系统工程的分类与分级..................... (7 ) 3.1LED视频显示系统的分类与分级................... (7 ) 3、2投影型视频显示系统的分类与分级................... (8 ) 3、3电视型视频显示系统的分类与分级................... (9 ) 视频显示系统工程设计 (10) 4.1一般规定 (10) 4、2视频显示屏系统设计 (11) 4、3传输系统设计 (14) 4、4控制系统设计 (17) 4、5辅助系统设计 (18) 视频显示系统工程施工 (21) 5.1施工准备 (21) 5.2施工 (22) 5.3系统调试 (25) 视频显示系统试运行 (30) 视频显示系统工程验收 (31) 7、1 —般规定 (31) 7、2初步验收 (31) 7、3工程竣工验收条件与验收组织 (32) 7.4工程竣工验收 (33)

附录A工程施工质量控制记录 (35) 附录B工程检测记录 (42) 附录C工程验收记录.................. * ........... (44) 本规范用词说明 (5) 附:条文说明 (47)

基于FPGA的数字CMOS摄像机图像采集

基于FPGA的数字CMOS摄像机图像采集 一、数据采集系统概述 数据采集是指将以各种形式输入的被测信号,包括语音信号、温度信号、湿度信号、图像信号等经过适当处理,成为计算机可以识别的数字信号,从而送入计算机进行存储处理的过程,数据采集卡就是典型的基于数据采集系统原理的集成计算机扩展卡。如图1所示,在数据采集过程中主要有几个关键部分:(1)输入信号的幅度较小或者过大,需要经过放大器单元将输入信号幅度放大或者缩小;(2)输入信号带有较大的噪声,需要经过一个硬件的模拟滤波单元,将信号滤波整形;(3)将信号送到AD进行模数转换;(4)将信号传输到计算机;(5)存储记录和处理数据。 图1数据采集过程 通常认为如果数字逻辑电路的频率超过50MHz,而且工作在这个频率之上的电路已经 占到了整个电路系统的三分之一以上,就称为高速电路。相应的,对于并行采样系统,如果采样频率达到50MHZ,数据量并行8bit以上;对于串行采样系统,如果采样频率达到200MHz,一般将这种采样系统也称为高速数据采集。目前高速数据采集使用较多的采样频率一般在 50M~100MHz之间。采集系统分模拟系统和数字系统,大多数字采集系统中,CMOS图像 传感器是系统的成像部件,它是系统的“眼睛”,能够捕获高速运动物体的图像,此模块是将采集的模拟图像转化为数字信号输出;图像处理模块是系统的中间缓存处理部分,此模块为了消除或降低前期采集携带噪声的影响,提高图像质量,将庞大的数据量进行压缩,以减小对存储介质容量的要求;数据传输模块是系统与外设搭建的桥梁,此模块是将实时采集的数据高速传输,给外设提供信息。 二、总体方案设计 方案1: 图像数据的传输通过USB总线技术完成系统的初始化以及将最终的传输信号准确无误 地传送到上位机上。USB技术具有简单化、通用性、可靠性、热插拔、传输速率高等优点,随之带来的是应用USB技术的复杂程度高、总线传输协议需要协调等问题。 方案2: 利用两片SDRAM进行图像数据的短时间存储并快速传输,SDRAM是多Bank结构,

基于FPGA的VGA接口设计

毕业设计(论文) 基于FPGA的VGA显示接口设计 摘要 本文基于FPGA芯片设计实现了一个用于stm32单片机外围的VGA接口模块,该模块以VGA接口的工业标准作为设计规范,使VGA显示器成为了stm32单片机的显示输出设备,适合所有的液晶显示器和CRT显示器。本系统采用带VGA接口的OLED显示器,OLED显示器具有体积小、分辨率高、功耗低、色彩丰富等特点,非常适合穿戴。模块与STM32单片机的接口使用Intel8080总线方式,非常适合STM32的FSMC读写模式,能方便地对屏幕任意像素进行读写操作。 关键字FPGA,VGA,OLED,STM32

VGA display interface design based on FPGA Abstract As people pursuit for more fun from games and the progress of science and technology, in the wargame , in order to make the team have a clear understanding of the battlefield situation, we use the OLED which is a kind of micro display to show all the information. The micro display generally use the VGA interface. With the development of manufacturing technology, VGA interface has become more compact, it has advantages of low cost, high resolution rich color etc. FPGA with high flexibility can be designed differently according to the needs, achieve the lowest cost. This paper designed a VGA display interface based on FPGA. Useful signal of the VGA interface is the horizontal synchronization signal, vertical synchronization signal and R,G,B signals. In this paper, we use FPGA to produce the horizontal synchronization signal and vertical synchronization signal, and the data from memory is converted into analog R,G,B signal by FPGA. The design use ISSI 25616 SRAM as the memory connectted by STM32F103VCT6. Key words :FPGA,VGA interface,OLED

基于FPGA的VGA图像显示与控制

基于FPGA的VGA图像显示与控制 课程要求:采用verilog语言,基于FPGA的VGA图像显示,即能够在显示器上实现动态彩色图像的显示。 VGA显示接口的理论分析:硬件采用CycloneII系列的EP2C20Q240C8N,它含有240个引脚。对于VGA的显示器遵循800*600@75模式,其中800是指每行中显示的像素的个数,而600是指屏幕每一列所包含的像素的个数。 VGA工业标准规定了具体地,在扫描过程中的时序图如下: 行扫描时序图 场扫描时序图 每场信号对应625个行周期,其中600行为图像显示行,每场有场同步信号,该脉冲宽度为3个行周期的负脉冲;每行显示行包括1056个点时钟,其中800点为有效显示区,每行有一个行同步信号的负脉冲,该脉冲宽度为80个点时钟。这样我们可以知道,行频为625*75=46857Hz。需要的点时钟的频率为:625*1056*75=49.5MHz约为50MHz。由上图可知,实际上在真正的实现过程中,每一行扫描所花的时间实际上比显示一行的像素所花的时间多了1056-800=256个像素点。同理,每一场的扫描时间多了625-600=25个行时间。 设计思路: 我们采用BmpToMif工具把BMP格式的图像转换为.mif文件。利用QuartusII7.2自带的MegaWizard Plug-In Manager产生一个ROM存储器,并用其来初始时.mif 文件。即将图像文件写入到存储器里面。然后利用编程来控制图像的显示。 设计步骤: 1、工程创建:创建一个Project取名字为vga,在创建工程的向导中选着CycloneII 系列的EP2C20Q240C8。 2、代码书写:新建一个Verilog HDL File编写程序代码如下: `timescale 1ns/1ps module tupian (clk,rst_n,hsync,vsync,vga_r,vga_g,vga_b,addr); input clk,rst_n;

相关主题