搜档网
当前位置:搜档网 › NC-Verilog仿真技巧

NC-Verilog仿真技巧

NC-Verilog仿真技巧
NC-Verilog仿真技巧

提高NC-Verilog仿真效率的技巧

本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下仿真一个设计和测试平台。文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。

安装最新发布的软件

首先确认你是否安装了最新的Cadence LDV版本。自动1996年发布第一版NC-Verilog以来,我们的研发队伍一直把仿真器的仿真效率作为重点来研究。在后来推出的新版本里,不断按各种设计风格优化仿真器,因此使用最新版本是最有利的。直到2002年2月,最新版本是LDV 3.4(s1)。

使用NC-Verilog内置的profiler

NC-Verilog在仿真引擎内开发了一个profiler工具。该工具每秒中断仿真器100次,并将终端时的结构记录下来,生成一个日志文件。日志文件里列出了被中断次数最多的模块、代码行和结构类型。这些信息可以帮助你诊断是哪些代码或模块在仿真时耗费了最多的时间。通过修改这些模块,就能提升仿真效率。

Profiler功能很容易启动,并对仿真只产生非常小的影响。

% ncverilog +ncprofile

or

% ncsim –profile snapshot_name

在仿真结束时,profiler会创建一个日志文件(ncprof.out),文件里列出了profile运行的细节。关于如何利用profiler日志文件,可参考Cadence在线文档(Cadence NC-Verilog Simulator Help, Version 3.4, Chapter 14)。

禁止时序验证来提升效率

缺省情况下,如果在设计中考虑了时序,NC-Sim将进行标准延迟格式(SDF)的全时序标注(annotation),并在仿真时检查时序。在进行功能验证时,如果你不需要仿真时序,就可以通过禁止一些时序检查功能来提高NC-Sim的仿真速度。这样虽然不能验证时序,但是提高了仿真效率,减少了仿真内存需求。

下面是一些用来禁止时序检查的一些命令行。

% ncverilog +delay_mode_distributed +notimingcheck +noneg_tchk

% ncelab –delay_mode dist –notimingchecks –noneg_tchk

下面还列出了关于时序的全局选项:

ncverilog option ncelab option

+nonotifier -nonotifier Disables notifier register

+notimingcheck -notimingchecks Disables timing check

+delay_mode_unit -delay_mode unit Delay 1 simulation time unit

+delay_mode_zero -delay_mode zero Zero delay

+delay_mode_distributed -delay_mode dist Ignores specify block delays Cadence LDV 3.3(2001年7月)增加了通过一个时序控制文件来为各个模块设置时序选项的功能。你可以模块为单位来设置是否进行时序检查,是否允许I/O路径延迟、端口延迟、库单元延迟和全时序检查。时序控制文件里要写明要配置的实例(instance)。命令行语法是:% ncverilog +nctfile

% ncelab –tfile snapshot_name

时序控制文件的语法在Cadence 在线文档(Cadence NC-Verilog Simulator Help, Version 3.4, Chapter 8)中可以找到。

提高SDF的精度

时序信息通过SDF文件传递给一个设计。在LDV 3.1以前的版本里,缺省的SDF精度是10ps。从LDV 3.1开始,所有的时序宽度(包括小于10ps的)都允许使用,这样仿真的时序结果更加精确,但是仿真变得更慢。在多数情况下,10ps就足够了,因此你也许想用下面的方法来改变精度:

% ncverilog +ncelabargs+”-sdf precision 10ps”

% ncelab –sdf_precision [10ps|1ps|100fs]

关于负时序(negative timing)检查

LDV 3.3缺省的仿真配置为负时序检查。这样,LDV 3.3和以前的版本的仿真结果就有所不同。

负时序检查有下列的选项:

ncverilog option ncelab option

+neg_tchk -neg_tchk Still exists for backward compatibility

+noneg_tchk -noneg_tchk Sets negative timing checks to zero

(matches previous behavior)

设置访问属性

缺省情况下,NC在非调试模式运行,仿真速度很快。可以通过设置访问属性和行调试(line-debug)功能来配置在仿真过程中信号、模块、和代码的访问属性。这样做降低了仿真的速度。

如果你想在代码中设置断点,就必须使用行调试选项。该选项对仿真效率影响非常大。

% ncverilog +linedebug

% ncvlog –linedebug

也可以设置设计的全局访问属性。下列的命令可以用来配置设计为允许读、写和交叉访问(connectivity access)。

% ncverilog +access+[rwc]

% ncelab –access [rwc] snapshot_name

r : read capability for waveform dumping, code coverage, etc

w : write access for modifying values through PLI or tcl code

c : connectivity access to querying drivers an

d loads in C or tcl

前面曾经提到过,这些选项将降低仿真的速度。读属性是通常要使用的,它对仿真性能影响非常小。

为了给部分对象、模块或实例设置访问属性,可以创建一个访问属性文件,并在文件中说明那些对象的访问属性。然后用在运行elaborator 时使用–afile选项。

% ncverilog +ncafile+

or

% ncelab -afile snapshot_name

% ncsim snapshot_name

也可以用–genafile 选项来自动生成访问属性文件。当仿真使用了Tcl、PLI或probing功能,无法提前确定对象的访问属性,就可以采用自动生成访问属性文件的方法。Elaborator 在生成仿真快照(snapshot)时会考虑你给出的–genafile选项;然后,当运行仿真时,Tcl或PLI 访问过的对象就会被记录下来。退出仿真的时候,访问属性文件就生成了。举个例子:

% ncverilog +ncgenafile+access.txt

% ncelab -genafile access.txt test.top

% ncsim test.top

仿真运行完成后,生成了一个access.txt 文件。你可以通过–afile 选项来使用这个文件(象前面介绍的那样):

% ncverilog +ncafile+access.txt

% ncelab -afile access.txt test.top

访问属性文件的语法可在Cadence 在线文档(Cadence NC-Verilog Simulator Help, Version 3.4, Chapter 8) 中找到。

小结

本文提供各种提高仿真速度的方法。

提高仿真效率的最简单办法是选用最新版的Cadence LDV。我们应该经常运行NC-Sim Profiler来判断仿真器在哪里耗费最多的时间。然后集中精力优化那些代码。

还可以使用命令行选项来提高仿真效率。你可以通过这些选项来配置时序功能、改变SDF 精度和配置对象的访问属性。提高仿真效率、可靠性和准确性对于验证设计都很重要。

仿真工作流程(Verilog/Modelsim+Debussy)

作者:未知时间:2007-05-14 20:22:19 来自:网上转载浏览次数:847 文字大小:【大】【中】【小】

这是献给大家的第二篇,文章详细说明了本人近一年来,自己摸索出来的一套仿真工作流程。接触过Modelsim这类软件的朋友可能都会感觉上手比较困难,原因有二:一、对仿真机制不了解,对基于source+testbench的工作流程不熟悉(大多数朋友接触FPGA仿真可能以waveform的方式);二、对软件的安装和使用不熟悉,Modelsim软件破解和平时常用软件相比要麻烦一些,也不像常用软件那样易于上手。即使入门了,也不一定能够熟练使用,相信很多朋友后仿过程中都碰到过back-annotation error的问题:)与大家一样,我也是这样一步步摸索出来的……

设计语言完全采用verilog, 设计工具采用ModelSim + Debussy。目前我的工作平台是Windows, 使用的版本是ModelSim6.2a + Debussy5.3v9。

为了便于管理,在文件夹的管理上采用分级管理。举一个例子:如果顶层模块是A1;A1划分为B1,B2,B3;B1又划分为C1,C2,B2划分为C3,C4,B3划分为C5,C6。那么一共建立10个文件夹,分别命名为A1, B1, B2, B3, C1, C2, C3, C4, C5, C6。在各文件夹中存放相应的设计源文件.v和testbench文件.v,这样可以避免单个文件夹中文件过多,给管理上带来不便。

ModelSim有三种操作模式:GUI、Command-line和Batch。GUI模式比较麻烦,又要敲键盘,又要点鼠标,对我这样的懒人不合适:)而且初学者在做后仿的时候,.sdf文件的

back-annotation比较难掌握。我推荐的是采用编写.do文件或.bat文件的工作方式。. do文件的编写可以参照ISE自动生成的.fdo(功能仿真)和.tdo(后仿)文件。通过ISE调用ModelSim 进行仿真,在工程的文件夹下会生成*.fdo和*.tdo的文件,用编辑器打开文件查看,是诸如此类的一些命令:

## NOTE: Do not edit this file.

## Auto generated by Project Navigator for Post-PAR Simulation

##

vlib work

## Compile Post-PAR Model

vlog "C:/test/netgen/par/shift_reg_timesim.v"

vlog "testbench.v"

vlog "C:/Xilinx/verilog/src/glbl.v"

vsim -novopt +maxdelays -L simprims_ver -lib work testbench glbl

do {testbench.udo}

view wave

add wave *

add wave .glbl.GSR

view structure

view signals

run 10us

## End

这些命令并不一定都要写上,可以根据自己的需要加以精简,比如一个功能仿真的fsim.do文件如下所示:

vlib work

vlog "PCMSyn.v"

vlog "Timing.v"

vlog "Search.v"

vlog "FSM.v"

vlog "test.v"

vsim -t 1ns -lib work test

view wave

add wave *

view structure

view signals

run 4ms

用ModelSim也可以查看波形图和进行调试,但是Debussy查错比较方便,下面说明如何利用ModelSim和Debussy进行仿真和调试。

在testbench文件中initial begin后需添加两条语句:

$fsdbDumpfile("test.fsdb"); //文件名随便起

$fsdbDumpvars;

点击图标或在cmd下敲vsim启动ModelSim GUI,在Transcript窗口cd到该模块的文件夹下,运行do *.do(*.do为仿真的do文件,比如fsim.do)。ModelSim有个不好的地方,如果仿真波形不对,可能需要查看中间信号以便定位错误,这时用add wave命令添加中间信号

后,需要重新run一次,才能看到这些中间信号的值。而采用Debussy查看ModelSim生成的.fsdb文件的话,添加信号后立即就可看到值,给调试带来很大的方便。另外,Debussy还有个很有用的功能叫做active annotation,在nWave窗口点击波形图上的不同时间点,nTrace 和nScheme的源代码和示意图上的表示出的信号值会随之变化,也大大方便了查错。关于Debussy的使用方法,请大家参考帮助文档,我只结合自己使用的经验强调2点:

1.在打开.fsdb文件之前,先在nTrace窗口Import Design一下,选择From File,Add所有相关的设计源文件和testbench文件,否则在nWave窗口打开.fsdb文件,用Get Signal添加信号后会显示NF。另外,在Get Signal之前请将ModelSim关掉,否则好像还是会显示NF。

2.再强调一遍,Import Design的时候要将testbench文件也添加进去,否则启动active annotation后,所有信号都会显示NF!这个问题曾郁闷了我很久,所以特别提出来。

可能大家都发现ModelSim的主要作用是产生.fsdb文件,我们并没有用它来进行查错,完全没必要启动GUI。这个问题我思考了很久,最后在网友hamamdu的帮助下解决了这个问题。用文本编辑器生成一个.bat文件,文件内容和.do文件差不多,不过语句到vsim命令为止,此外vsim命令也要做一些修改,添加-c参数。下面给出一个.bat的例子:vlib work

vlog "PCMSyn.v"

vlog "Timing.v"

vlog "Search.v"

vlog "FSM.v"

vlog "test.v"

vsim -c work.test

运行这个.bat文件后,将会出现VSIM 1>提示,这时输入run 4ms,回车,将提示fsdb 文件产生好了。

经我试验,开启Optimization后,对Command-line操作模式没有影响,但是最后一条语句不可写成vsim -c test,虽然这样也可产生fsdb文件,但是启动active annotation后Debussy 软件会报错:(

写的比较仓促,大家试验中发现什么问题,请及时提出来:)

Ncverilog 命令使用详解

作者:5life时间:2005-12-17 11:19:53 来自:本站原创浏览次数:1003 文字大小:【大】【中】【小】

我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(ncelab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。

三命令模式

命令如下:

ncvlog -f run.f

ncealb tb -access wrc

ncsim tb -gui

第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首

位,这样可以避免出现提示timescale的错误。

第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

第三个命令中,gui选项是加上图形界面

值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。单命令模式

ncverilog +access+wrc rtl +gui

在这里,各参数与三命令模式相同。注意“ + ”。

在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。+cdslib+... 设定你所仿真的库所在

+define+macro ...预编译宏的设定

+errormax+整数当错误大于设定时退出仿真

+incdir+path设定include的路径

+linedebug允许在代码中设定line breakpoint

+log+logfile输出到名为logfile的文件中

+status显示内存和CPU的使用情况

+work工作库

+access+w/r/c读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c)

+gui显示图形交互界面

+input script_file输入脚本文件

+licqueque如无licence等待licence

+run如果在GUI交互界面下, 启动后将自动开始仿真

+loadpli1=...动态加入PLI

+timescale设定仿真单位和精度

+nocopyright不显示版权信息

最新丙烯酸甲酯的生产工艺资料

5000t/a 丙烯酸甲酯的生产工艺组织与实施 1:丙烯酸甲酯的生产工艺路线选择 丙烯酸甲酯,别名败脂酸甲酯,分子式 C4H6O2或CH2CHCOOCH3,熔 点 -75℃ ,沸点:80.0℃,微溶于水。用于作为有机合成中间体,也是合成高分子聚合物的单体,用于橡胶、医药、皮革、造纸、粘合剂等。 无色液体。有辛辣气味。水中溶解度在20℃时为6G/100ml ,40℃时5G/100ml 、水在丙烯酸甲酯中溶解度为1.8ml/100G 。溶于乙醇和乙醚。在贮存过程中易聚合,光、热和过氧化物能加速其聚合作用。纯粹的单体在低于10℃时不聚合。通常加入对苯二酚单甲醚0.1%作阻聚剂。相对密度(d204)0.9561。熔点-76.5℃。沸点70℃(81.06kPA)。折光率(n20D)1.401。闪点(开杯)-4℃。易燃。中等毒,半数致死量(大鼠,经口)0.3G/kG 。有催泪性。对呼吸系统和皮肤有刺激性。 丙烯酸甲酯(Methyl Acrylate ,简写为MA)是重要的精细化工原料之一,主要用作有机合成中间体及合成高分子单体,丙烯酸甲酯可以和各种硬单体(如:甲基丙烯酸甲酯、苯乙烯、丙烯腈、醋酸乙烯等)及官能性单体[如: (甲基)丙烯酸羟乙酯、羟丙酯、缩水甘油酯、 (甲基)烯酰胺]及其衍生物等进行交换、共聚、接枝等,做成上千种丙烯酸类树脂产品(主要是乳液型、溶剂型及水溶型),广泛用作涂料、胶粘剂、睛纶纤维改性、塑料改性、纤维及织物加工、皮革加工、造纸以及丙烯酸类橡胶等许多方面。 现有生产方式 乙炔法(雷珀(Reppe)法) 是先将乙炔溶解于四氢呋喃溶剂中,用溴化镍为催化剂(作为羰基镍的来源),溴化铜为助催化剂,反应条件为:8~10 MPa ,200~225℃,丙烯酸的产率为90% (对乙炔)或85% (对CO),BASF 和Dow-Badische 相继于1960年进行工业生产,两者略有不同之处,前者用酸作催化剂进行甲醇酯化,后者用Dowex 。50强酸性阳离子交换树脂为催化剂。此法的特点是不用高压处理乙炔,用镍盐作催化剂,而不用有毒的羰基镍。 丙烯睛水解 这是丙烯腈水解,酯化后制取丙烯酯化的方法。 424242222242SO H NH COOR CH CH SO H CONH CH CH O H CN CH CH ROH SO H +?=??→???=??→?+? 反应分为两步,由利用丙烯腈水解的酰胺化反应与利用醇的酯化反应组成。在第一步反应中,是在70~100度将丙烯腈添加到硫酸水溶液中以合成丙烯酰胺硫酸盐,然后加适量的水和醇进行酯化。生成的酯用来蒸馏分离掉副产物硫酸氢铵后再送到精制工序。 这种方法所制得的丙烯酸酯的收率系随醇的种类有所不同,使用甲醇的时候,丙烯酸甲酯的收率按丙烯腈计高于85%,以甲醇计高于75%。至于用丁醇以上的高级醇,在经济上还存在问题。 这种方法的缺点是副产品是丙烯酸甲酯的二倍。(重量)即以硫酸氢铵为主要成分的废液,而处理这种废液有很多困难。因为不能将其扔掉,只能用于硫酸回收,或用来制造硫酸铵。另一个缺点是丙烯腈直接合成高级酯有一定的困难。因此这种方法不能用于大规模工厂的生产。 烯酮法

丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册

嘉兴学院丙烯酸甲酯工艺仿真操作手册 北京东方仿真软件技术有限公司 2013年5月 精心整理,用心做精品0

目录 第一章生产原理及工艺特点 (2) 第二章生产流程说明 (4) 第三章设备一览表 (8) 第四章主要操作条件及工艺指标 (9) 第五章操作规程 (11) 第六章操作界面 (15) 精心整理,用心做精品1

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH 2=CHCOOH+CH 3 OH <==>CH 2 =CHCOOCH 3 +H 2 O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH 2=CHCOOH+CH 3 OH <==> CH 2 =CHCOOCH 3 +H 2 O AA MEOH MA *IER指离子交换树脂 (2)酯化反应器的副反应 CH 2=CHCOOH十2CH 3 OH———> (CH 3 O)CH 2 CH 2 COOCH 3 +H 2 O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH 2=CHCOOH十CH 3 OH ———> CH2=CHCOOC 2 H 4 COOCH 3 +H 2 O D-M(3-丙烯酰氧基丙酸甲酯/ 二聚丙烯酸甲酯) 精心整理,用心做精品2

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

丙烯酸甲酯工艺说明

15000吨/年丙烯酸甲酯生产工艺 第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH 2=CHCOOH+CH 3 OH <==>CH 2 =CHCOOCH 3 +H 2 O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH 2=CHCOOH+CH 3 OH <==> CH 2 =CHCOOCH 3 +H 2 O AA MEOH MA *IER指离子交换树脂(2)酯化反应器的副反应 CH 2=CHCOOH十2CH 3 OH———> (CH 3 O)CH 2 CH 2 COOCH 3 +H 2 O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH 2=CHCOOH十CH 3 OH ———> CH2=CHCOOC 2 H 4 COOCH 3 +H 2 O D-M(3-丙烯酰氧基丙酸甲酯/二聚丙烯酸甲酯)

H+(1ER) CH 2=CHCOOH+CH 3 OH———>HOC 2 H 4 COOCH 3 HOPM(3-羟基丙酸甲酯) H+(1ER) CH 2=CHCOOH+CH 3 OH ——>CH 3 OC 2 H 4 COOH MPA(3-甲氧基丙酸) H+(1ER) 2CH 2=CHCOOH———>CH 2 =CHCOOC 2 H 4 COOH D-AA(3·丙烯酰氧基丙酸/二聚丙烯酸) 其他副产物是由于原料中的杂质的反应而形成的。典型的丙烯酸中的杂质的反应如下: CH 3COOH+R-OH——>CH 3 COOR十H 2 O C 2H 5 COOH+R-OH——>C 2 H 5 COOR十H 2 O 丙烯酸甲酯的酯化反应在固定床反应器内进行,它是一个可逆反应,本工艺采用酸过量使反应向正方向进行。 反应在如下情况下进行: 温度:75℃(MA) 醇/酸摩尔比:0.75(MA) 由于甲酯易于通过蒸馏的方法从丙烯酸中分离出来,从经济性角度,醇的转化率被设在60%-70%的中等程度。未反应的丙烯酸从精制部分被再次循环回反应器后转化为酯。 用于甲酯单元的离子交换树脂的恶化因素有:金属离子的玷污、焦油性物质的覆盖、氧化、不可撤回的溶涨等。因此,如果催化剂有意被长期使用,这些因素应引起注意。被金属铁离子玷污导致的不可撤回的溶涨应特别注意。 1.3 丙烯酸回收 丙烯酸回收是利用丙烯酸分馏塔精馏的原理,轻的甲酯、甲醇和水从塔

甲基丙烯酸甲酯催化剂简述

两段氧化法生产甲基丙烯酸甲酯工艺所需催化剂的简述 两段氧化法生产甲基丙烯酸甲酯的工艺,其反应部分共分为两个反应阶段。第一段反应为氧化反应,汽化的叔丁醇(或异丁烯)和空气、水蒸气按一定的比例通过装有催化剂的固定床反应器,在催化剂的催化氧化作用下快速反应,生产甲基丙烯醛及一部分副产物,反应生产物经冷却脱水后作为二段反应的原料。 二段反应为氧化酯化反应,在釜式反应器(三相浆态床)内,甲基丙烯醛、甲醇和氧气按一定的比例进行反应,在催化剂的作用下氧化酯化生成甲基丙烯酸甲酯,产物进入精馏工段进行精制提纯。 一段催化剂形状为实心柱状,其生产过程主要分为溶解、搅拌加热、喷雾干燥、压片成型等工序。生产的不同批次的催化剂均要进行充分的单管实验,以验证催化剂各方面的性能,从单管实验结果来看,本公司生产的催化剂大部分均能达到理想的效果,产品性能比较稳定。通过单管实验数据及生产运行的结果来看,热媒温度控制在330℃是较合适的,此时,床层热点温度在360摄氏度(热点温度最高不超过390℃)左右。投入生产以来,催化剂各方面的性能均表现良好。 二段催化剂为黑色粉末状固体,载体过三百目筛,附着钯金属后 灼烧而成。通过微反实验验证催化剂性能(同时要加入两种助催化剂),选择性及转化率均能达到要求,但投入生产后,化工的放大作用影响了催化剂的性能。可能是因为进料方式、气体分布情况、催化剂分布情况等因素,催化剂选择性及转化率没有达到微反实验的效果。同时,反应器的形状、大小、气体分布装置、三相分离设备均一定程度的影

响了生产的顺利进行,同时也限制了催化剂性能的充分体现。 从催化剂投入使用以来,分析生产数据,一段反应的催化剂性能优于二段反应的催化剂。但一段反应的反应器性能及操作均有类似产品可供参考,而二段反应的反应器及分离设施均在摸索阶段,所以二段催化剂没有表现出良好的性能,除了本身的原因之外,反应器的性能也一定程度上影响了其能力的发挥。 此外,一二段催化剂本身均有需要提高的地方,例如其反应表面积及机械强度等。

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

2016年全国职业院校技能大赛赛题

2016年全国职业院校技能大赛化工生产技术赛项赛题竞赛试题由化工生产仿真操作、精馏操作和化工专业知识考核三个部分试题组成。具体考核时间及占总分比重分别为:化工理论考核90分钟,占总分比重的15%,化工仿真操作考核120分钟,占总分比重的40%,精馏现场操作考核90分钟,占总分比重的45%。根据本赛项竞赛项目的特点,对化工生产仿真操作和精馏操作赛题公开;对化工专业知识考核命题范围(见表1)和考核题库公开,题库采用由中国化工教育协会与化工工业职业技能鉴定中心组织编写的《化工总控工职业技能鉴定应知试题集》,此书由化学工业出版社2010年10月公开出版,书号为:978-7-122-09483-4。 表1 2016年全国职业院校技能大赛高职组化工生产技术赛项理论试题命题范围命题范围知识点 选择题 (含多选题) 是非题职业道德职业道德及职业守则 3 2 基础知识化学基本知识 5 4 计量知识 1 1 化工基础数据 1 1 分析与检验知识 1 2 单元操作流体输送 4 2 传热 3 1 非均相物系分离 2 2 压缩、制冷 2 1 干燥 1 1

命题范围知识点 (含多选题) 是非题蒸馏精馏 4 2 结晶 1 1 吸收 3 2 蒸发 1 1 萃取 2 1 反应 4 2 化工工艺 化工生产基础知识、化工生产操作知识、 典型化工生产工艺 5 3 催化剂催化剂相关基础知识 1 1 化工识图化工工艺图纸制图、识图知识 2 1 化工机械与设备典型化工设备种类、结构 4 2 材质的选择 设备维护保养及安全使用 化工仪表与自动化化工仪表种类、应用与使用维护 5 2 化工控制仪表及控制规律 化工自动控制系统 安全与环境保护“三废”与环保 3 3 工业生产中常见的安全技术和措施 消防 化工物料危险性、灭火原理、灭火器性 能及使用 1 1

丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册 1 2020年4月19日

嘉兴学院丙烯酸甲酯工艺仿真 操作手册 北京东方仿真软件技术有限公司 5月

目录 第一章生产原理及工艺特点................................. 错误!未定义书签。第二章生产流程说明............................................. 错误!未定义书签。第三章设备一览表................................................. 错误!未定义书签。第四章主要操作条件及工艺指标 ......................... 错误!未定义书签。第五章操作规程 .................................................... 错误!未定义书签。第六章操作界面 ................................................. 错误!未定义书签。 1 2020年4月19日

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH2=CHCOOH+CH3OH <==> CH2=CHCOOCH3+H2O AA MEOH MA *IER指离子交换树脂 2 2020年4月19日

非常有用的将参数从verilog传送到c

非常有用的将参数从verilog传送到c 自行寫一個System Task,能夠接受由Verilog的reg或wire傳給C的值。 Introduction 使用環境: Cadense NC-Verilog 5.4 + Visual C++ 6.0 在此文件,將學習到 1.如何將參數從Verilog傳到C? 2.如何撰寫簡單的compiletf? 3.如何從C抓到Verilog傳來的參數? show_value.c / C 1#include 2#include "vpi_user.h" 3 4PLI_INT32 show_value_compiletf(PLI_BYTE8 *user_data) { 5 vpiHandle systf_handle, arg_iterator, arg_handle; 6 PLI_INT32 arg_type; 7 8// obtain a handle to the system task instance 9 systf_handle = vpi_handle(vpiSysTfCall, NULL); 10if (systf_handle == NULL) { 11 vpi_printf("ERROR: $show_value failed to obtain systf handle\n"); 12 vpi_control(vpiFinish, 0); 13 14return -1; 15 } 16 17// obtain handles to system task arguments 18 arg_iterator = vpi_iterate(vpiArgument, systf_handle);

全国职业院校技能大赛高职组化工生产技术赛项赛题

2019年全国职业院校技能大赛 高职组化工生产技术赛项赛题 本赛项的竞赛试题由化工生产仿真操作、精馏操作和化工专业知识考核三个部分试题组成。具体考核时间及占总分比重分别为:化工理论考核60分钟,占总分比重的15%,化工仿真操作考核120分钟,占总分比重的40%,精馏现场操作考核90分钟,占总分比重的45%。根据本赛项竞赛项目的特点,对化工生产仿真操作和精馏操作赛题公开;对化工专业知识考核命题范围(见表1)和考核题库公开,具体公开如下。 (一)化工仿真操作 本模块采用公开赛题的形式,具体考核赛题如下。 1.考核题目 丙烯酸甲酯生产工艺仿真操作 2.考核内容 1)冷态开车(含排除干扰);2)正常停车;3)事故处理(屏蔽事故名称,由选手根据现象判断并排除事故);4)稳态生产(通过教师站随机下发扰动,选手判断并解除)。5)随机提问回答(冷态开车时段内)。具体题型见表1。 表1 化工仿真操作题(样题) 编号题目用时 1 丙烯酸甲酯生产装置全流程冷态开车(含排除干扰)不限定 2 丙烯酸甲酯生产装置全流程稳定生产20min 3 丙烯酸甲酯生产装置全流程正常停车不限定 4 故障1:原料供应不足不限定 5 故障2:T110塔压增大不限定 6 故障3:P110A泵故障不限定 7 故障4:再沸器E141蒸汽压力变大不限定

8 随机提问回答0 时间总计120 3.考核说明 (1)采用北京东方仿真技术公司开发的丙烯酸甲酯生产工艺操作软件,DCS风格采用东方仿真公司开发的“通用2010版DCS”,考核时采用200倍时标。 (2)稳定生产用20分钟,期间随机触发15个扰动,要求选手在规定时间进行处理和恢复正常运行,无论选手处理正确与否,扰动定时消失,电脑随即记录成绩。 (3)冷态开车操作过程中,会随机出现15个提问对话框,需选手作出回答。无论选手回答与否,对话框将定时消失,电脑随即记录成绩;此外,还会随机出现5-10个开车干扰项,需及时排除,否则将扣除相应的分值。 4. 丙烯酸甲酯事故库 表2 丙烯酸甲酯事故库 序号事故名称序号事故名称 事故1 停电事故12 FV141阀漏 事故2 停蒸汽事故13 E112冷却水压力低 事故3 原料中断事故14 再沸器E111蒸汽压力变大 事故4 T110塔压增大事故15 T110塔顶回流流量变小 事故5 原料供应不足事故16 R101AA进料流量过大 事故6 P110A泵故障事故17 R101压力控制阀PV101阀卡事故7 再沸器E141蒸汽压力变大事故18 R101蒸汽压力变大 事故8 再沸器E151积水事故19 E114回流流量过大 事故9 LV110阀卡事故20 E114进料阀FV110阀卡

丙烯酸甲酯

项目二丙烯酸甲酯生产工艺组织与实施 丙烯酸甲酯,含不饱和双键,在均聚和共聚反应中被广泛应用,生产丙烯酸树脂类产品。 其中75%以上的丙烯酸甲酯用于生产聚丙烯腈,另外还用于合成抗菌消炎药物,其共聚物和聚合物还用作纸张增稠剂、纸品胶豁剂、水处理剂、油田化学品中的降凝剂、降粘剂和陶瓷的助剂等。 任务点01 丙烯酸甲酯生产工艺路线选择 选择丙烯直接氧化法 以丙烯为原料, 两步氧化生成丙烯酸(第一步氧化为丙烯醛, 再氧化成丙烯酸),再与甲醇相酯化生成丙烯酸甲酯, 酯化产物经脱水分馏得成品。 选择理由: 随着丙烯酸酯需要量的增加及丙烯价格的下降,近来很多厂家都用价格低又适合于大型化的空气氧化合成丙烯酸的方法来实现工业化。 反应易于控制 主要生产步骤: ●丙烯两步氧化生成丙烯酸 ●丙烯酸与甲醇酯化反应生成丙烯酸甲酯

两步法反应条件:丙烯首先在0.IMPa,310-480℃下氧化生成丙烯醛,后者在300-400℃下继续氧化生成丙烯酸。该法丙烯酸收率一般在80%以上 两段氧化反应为强放热反应,工业上大多采用列管式反应器,并用适当的传热介质及时有效的移走反应热。 任务点02 生产工艺条件影响因素分析 酯化反应原理 (1)主反应 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 可逆,放热 (2)副反应

CH2=CHCOOH十2CH3OH———> (CH3O)CH2CH2COOCH3+H2O MPM:(3-甲氧基丙酸甲酯) 2CH2=CHCOOH十CH3OH ———> CH2=CHCOOC2H4COOCH3+H2O D-M(3-丙烯酰氧基丙酸甲酯/二聚丙烯酸甲酯) CH3COOH+R-OH——>CH3COOR十H2O C2H5COOH+R-OH——>C2H5COOR十H2O

NCveriog安装指南

Ncverilog 安装指南 一。eda安装环境的搭建(建议) 1 在主文件夹下建立soft文件夹,里面建立edasoft文件夹,在edasoft下建立source.source文件用来保存软件的配置。 2打开source.source文件,输入下面内容: export EDA_HOME=~/soft/edasoft 关闭保存。 3在终端执行: gedit ~/.bashrc 打开用户bash的配置文件,在文件最后新起一行,输入: source ~/soft/edasoft/source.source 保存关闭。 二。安装IUS(或者IFV)(内含ncverilog)工具 需要的工具: Float_Cadence_LicGen.tar IUS09.20.007L1P1.tar.gz 如下图: 安装环境:ubuntu11.10,已经安装了可能需要的一些包(在旋风处可以获取)。1.分别解压两个包,进入IUS09.20.007L1P1,在此目录下打开终端,运行:sudo chmod 777 SETUP.SH 然后再: ./SETUP.SH 就会如下图所示:

点击enter键直到出现: 在后面输入你要安装的目录,如:~/soft/edasoft/IUS 回车继续: 下来惠询问安装路径:

然后会跳出图形界面安装InstallScape: 点击next直到下图,选上所有安装项(除去含64bit字样的,那个是64bit的): 下一步安装:

安装完会提示是否配置,选yes: 选上所有进行配置。在询问是否配置lisence时选否:

之后完毕,close。 2、现在配置lisence。进入刚解压出来的Float_Cadence_LicGen文件夹: 修改all.dat的内容,只修改第二行,注意和你的IUS安装目录对应: 回到Float_Cadence_LicGen文件夹,在该文件夹下打开终端,输入如下指令: wine lmcrypt_cdslmd -i all.dat -o key.cadence -verfmt 6 -r 如下: 执行后会产生key.cadence文件。重命名为cadencelisence.dat,放到你的安装目录下:

丙烯酸甲酯工艺仿真软件操作手册(详细参考)

嘉兴学院丙烯酸甲酯工艺仿真操作手册 北京东方仿真软件技术有限公司 2013年5月

目录 第一章生产原理及工艺特点 (2) 第二章生产流程说明 (4) 第三章设备一览表 (7) 第四章主要操作条件及工艺指标 (8) 第五章操作规程 (9) 第六章操作界面 (13)

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH2=CHCOOH+CH3OH <==> CH2=CHCOOCH3+H2O AA MEOH MA *IER指离子交换树脂 (2)酯化反应器的副反应 CH2=CHCOOH十2CH3OH———> (CH3O)CH2CH2COOCH3+H2O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH2=CHCOOH十CH3OH ———> CH2=CHCOOC2H4COOCH3+H2O D-M(3-丙烯酰氧基丙酸甲酯/ 二聚丙烯酸甲酯) H+(1ER) CH2=CHCOOH+CH3OH———>HOC2H4COOCH3

HOPM(3-羟基丙酸甲酯) H+(1ER) CH2=CHCOOH+CH3OH ——>CH3OC2H4COOH MPA(3-甲氧基丙酸) H+(1ER) 2CH2=CHCOOH———>CH2=CHCOOC2H4COOH D-AA(3·丙烯酰氧基丙酸/ 二聚丙烯酸) 其他副产物是由于原料中的杂质的反应而形成的。典型的丙烯酸中的杂质的反 应如下: CH3COOH+R-OH——>CH3COOR十H2O C2H5COOH+R-OH——>C2H5COOR十H2O 丙烯酸甲酯的酯化反应在固定床反应器内进行,它是一个可逆反应,本工艺采用酸过量使反应向正方向进行。 反应在如下情况下进行: 温度:75℃(MA) 醇/酸摩尔比:0.75(MA) 由于甲酯易于通过蒸馏的方法从丙烯酸中分离出来,从经济性角度,醇的转化率被设在60%-70%的中等程度。未反应的丙烯酸从精制部分被再次循环回反应器后转化为酯。 用于甲酯单元的离子交换树脂的恶化因素有:金属离子的玷污、焦油性物质的覆盖、氧化、不可撤回的溶涨等。因此,如果催化剂有意被长期使用,这些因素应引起注意。被金属铁离子玷污导致的不可撤回的溶涨应特别注意。 1.3 丙烯酸回收 丙烯酸回收是利用丙烯酸分馏塔精馏的原理,轻的甲酯、甲醇和水从塔顶蒸出,重

Ncverilog 命令使用详解

Ncverilog 命令使用详解 我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(n celab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。 三命令模式命令如下: ncvlog -f run.f ncealb tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb 文件放在首位,这样可以避免出现提示timescale的错误。 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。 第三个命令中,gui选项是加上图形界面 值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。 单命令模式 ncverilog +access+wrc rtl +gui 在这里,各参数与三命令模式相同。注意“ + ”。 在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。 +cdslib+... 设定你所仿真的库所在 +define+macro ... 预编译宏的设定 +errormax+整数当错误大于设定时退出仿真 +incdir+path 设定include的路径 +linedebug 允许在代码中设定line breakpoint +log+logfile 输出到名为logfile的文件中 +status 显示内存和CPU的使用情况 +work 工作库 +access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c) +gui 显示图形交互界面 +input script_file 输入脚本文件 +licqueque 如无licence等待licence +run 如果在GUI交互界面下, 启动后将自动开始仿真 +loadpli1=... 动态加入PLI +timescale 设定仿真单位和精度 +nocopyright 不显示版权信息

ncverilog

ncverilog仿真详解 发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真 数位IC工具简介——Simulator 工具 ModelSim ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援 VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体. NCSim NC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能. NCVerilog NC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. VCS VCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. ncverilog使用(2009-04-20 16:07:14) ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核; ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真) 基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作 ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式 三命令模式: ncvlog -f run.f ncelab tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误 注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

丙烯酸甲酯的生产工艺

丙烯酸甲酯的生产工艺-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

5000t/a 丙烯酸甲酯的生产工艺组织与实施 1:丙烯酸甲酯的生产工艺路线选择 丙烯酸甲酯,别名败脂酸甲酯,分子式 C4H6O2或CH2CHCOOCH3,熔 点 -75℃ ,沸点:℃,微溶于水。用于作为有机合成中间体,也是合成高分子聚合物的单体,用于橡胶、医药、皮革、造纸、粘合剂等。 无色液体。有辛辣气味。水中溶解度在20℃时为6G/100ml ,40℃时 5G/100ml 、水在丙烯酸甲酯中溶解度为100G 。溶于乙醇和乙醚。在贮存过程中易聚合,光、热和过氧化物能加速其聚合作用。纯粹的单体在低于10℃时不聚合。通常加入对苯二酚单甲醚%作阻聚剂。相对密度(d204)。熔点℃。沸点70℃。折光率(n20D)。闪点(开杯)-4℃。易燃。中等毒,半数致死量(大鼠,经口)kG 。有催泪性。对呼吸系统和皮肤有刺激性。 丙烯酸甲酯(Methyl Acrylate ,简写为MA)是重要的精细化工原料之一,主要用作有机合成中间体及合成高分子单体,丙烯酸甲酯可以和各种硬单体(如:甲基丙烯酸甲酯、苯乙烯、丙烯腈、醋酸乙烯等)及官能性单体[如: (甲基)丙烯酸羟乙酯、羟丙酯、缩水甘油酯、 (甲基)烯酰胺]及其衍生物等进行交换、共聚、接枝等,做成上千种丙烯酸类树脂产品(主要是乳液型、溶剂型及水溶型),广泛用作涂料、胶粘剂、睛纶纤维改性、塑料改性、纤维及织物加工、皮革加工、造纸以及丙烯酸类橡胶等许多方面。 现有生产方式 乙炔法(雷珀(Reppe)法) 是先将乙炔溶解于四氢呋喃溶剂中,用溴化镍为催化剂(作为羰基镍的来源),溴化铜为助催化剂,反应条件为:8~10 MPa ,200~225℃,丙烯酸的产率为90% (对乙炔)或85% (对CO),BASF 和Dow-Badische 相继于1960年进行工业生产,两者略有不同之处,前者用酸作催化剂进行甲醇酯化,后者用Dowex 。50强酸性阳离子交换树脂为催化剂。此法的特点是不用高压处理乙炔,用镍盐作催化剂,而不用有毒的羰基镍。 丙烯睛水解 这是丙烯腈水解,酯化后制取丙烯酯化的方法。 424242222242SO H NH COOR CH CH SO H CONH CH CH O H CN CH CH ROH SO H +?=??→???=??→?+? 反应分为两步,由利用丙烯腈水解的酰胺化反应与利用醇的酯化反应组成。在第一步反应中,是在70~100度将丙烯腈添加到硫酸水溶液中以合成丙烯酰胺硫酸盐,然后加适量的水和醇进行酯化。生成的酯用来蒸馏分离掉副产物硫酸氢铵后再送到精制工序。 这种方法所制得的丙烯酸酯的收率系随醇的种类有所不同,使用甲醇的时候,丙烯酸甲酯的收率按丙烯腈计高于85%,以甲醇计高于75%。至于用丁醇以上的高级醇,在经济上还存在问题。 这种方法的缺点是副产品是丙烯酸甲酯的二倍。(重量)即以硫酸氢铵为主要成分的废液,而处理这种废液有很多困难。因为不能将其扔掉,只能用于硫酸回收,或用来制造硫酸铵。另一个缺点是丙烯腈直接合成高级酯有一定的困难。因此这种方法不能用于大规模工厂的生产。 烯酮法

Modelsim NC-Verilog仿真理解

Modelsim/NC-Verilog仿真理解 仿真(Simulation),也称为模拟,是对所有集成电路或系统的一种检测方法。用户可以在设计过程中对整个系统和部分模块进行仿真,即在计算机上用仿真软件验证功能是否正确、各部分的时序配合是否正确。如果有问题可以随时进行修改,从而避免逻辑错误。高级的仿真软件还可以整个系统设计性能进行评估。设计规模越大就越需要进行仿真。 仿真包括功能仿真和时序仿真。在设计输入阶段按进行仿真,不考虑信号延时等因素成为功能仿真,又称前仿真;时序仿真又称为后仿真,他在选择了具体器件并完成了布局布线以后进行的含定时关系的仿真。由于不同器件的内部延时不同,不同的布局、布局方案也给延时造成了很大的影响,因此在设计实现后,对网络和逻辑块进行延时仿真,分析定时关系,估计设计性能非常有必要。 要进行电路仿真必须有仿真器的支持。常用的Verilog仿真器有:ModelSim、Verilog-XL、NC-Verilog和VCS等。下面我们简单介绍下我们常用到的ModelSim、NC-Verilog两款仿真软件特点、区别。 一、ModelSim ModelSim是Mentor Graphics子公司ModelSim Technology的产品,是业界优秀的HDL 语言仿真软件之一,它是编译型的VerilogHDL/VHDL混合仿真器。MoselSim可以在同一设计中单独或混合使用Verilog HDL和VHDL,允许Verilog HDL模块调用VHDL的实体,或用VHDL模块调用Verilog HDL的实体。由于ModelSim是编译型仿真器,使用编译后的HDL 库进行仿真,因此在进行仿真前,必须所有待仿真的HDL文件编译成为HDL仿真库,在编译时使源文件获得优化,提高了仿真速度。 Model Sim只完成逻辑功能的仿真,并不考虑具体使用什么器件,学习HDL或者设计逻辑的时候compile一次所用的时间很短,便于调试找出逻辑的错误。所以初学仿真推荐使用Model Sim。 ModelSim可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow 窗口查看某一单元或模块的输入输出的连续变化。 ModelSim提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度

(整理)丙烯酸甲酯工艺仿真软件手册.

丙烯酸甲酯工艺仿真培训系统

目录 第一章生产原理及工艺特点 (2) 第二章生产流程说明 (5) 第三章设备一览表 (8) 第四章主要操作条件及工艺指标 (11) 第五章操作规程 (14) 第六章紧急事故处理 (21) 第七章操作界面 (22)

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH2=CHCOOH+CH3OH <==> CH2=CHCOOCH3+H2O AA MEOH MA *IER指离子交换树脂 (2)酯化反应器的副反应 CH2=CHCOOH十2CH3OH———> (CH3O)CH2CH2COOCH3+H2O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH2=CHCOOH十CH3OH ———> CH2=CHCOOC2H4COOCH3+H2O D-M(3-丙烯酰氧基丙酸甲酯/ 二聚丙烯酸甲酯)

H+(1ER) CH2=CHCOOH+CH3OH———>HOC2H4COOCH3 HOPM(3-羟基丙酸甲酯) H+(1ER) CH2=CHCOOH+CH3OH ——>CH3OC2H4COOH MPA(3-甲氧基丙酸) H+(1ER) 2CH2=CHCOOH———>CH2=CHCOOC2H4COOH D-AA(3·丙烯酰氧基丙酸/ 二聚丙烯酸) 其他副产物是由于原料中的杂质的反应而形成的。典型的丙烯酸中的杂质的反 应如下: CH3COOH+R-OH——>CH3COOR十H2O C2H5COOH+R-OH——>C2H5COOR十H2O 丙烯酸甲酯的酯化反应在固定床反应器内进行,它是一个可逆反应,本工艺采用酸过量使反应向正方向进行。 反应在如下情况下进行: 温度:75℃(MA) 醇/酸摩尔比:0.75(MA) 由于甲酯易于通过蒸馏的方法从丙烯酸中分离出来,从经济性角度,醇的转化率被设在60%-70%的中等程度。未反应的丙烯酸从精制部分被再次循环回反应器后转化为酯。 用于甲酯单元的离子交换树脂的恶化因素有:金属离子的玷污、焦油性物质的覆盖、氧化、不可撤回的溶涨等。因此,如果催化剂有意被长期使用,这些因素应引起注意。

相关主题