搜档网
当前位置:搜档网 › 篮球比赛记分器设计1

篮球比赛记分器设计1

篮球比赛记分器设计1
篮球比赛记分器设计1

篮球比赛记分器设计

Design Of Basketball Scoring

设计题目:篮球比赛记分器设计

学院名称:电气与信息工程学院

专业名称:电子信息工程

班级名称:电子 0841

学号: 31 11 13 43

完成时间:2011年7月6日

2011年7月6日

同组设计人名单及任务

姓名学号主要任务

魏高峰31 负责硬件电路的搭建和软件的设计

段磊11 绘制PCB原理图和PCB制版

高近近13 查阅相关资料和文献,并对设计排版朱兆宁43 Proteus电路仿真工作

总结

魏高峰

基于 MCS-51 系列中的 STC89C52 芯片应用开关电源,无线遥控,采用动态扫描方式等新型设计理念开发出具有主控同步监视、无线控制、声音提示等功能的智能篮球记分器。该系统能

够完美地实现篮球比赛中准确计时、记分、24 s 倒计时。其控制系统的模块包含 7 bit 动态显示数码记分模块 4bit 动态显示数码计时模块 A/B 两队加减分模块无线遥控模块和声音提示模块。

主控台电路基于单片机 STC80C52 为控制核心,单片机 P1.0-P1.3 口作为篮球记分器监视器的位控制口,将控制信号通过 74LS154 译码器连接数码显示管,将单片机输出的编码进行4-16 译码。从而控制数码显示管显示位 P1.4-P1.7 口连接至发射模块PT2262 芯片,将键盘输入的信息通过单片机传输到2262 数据位中进行编码 P0 口作为键盘端口,将键盘矩阵的信息输入至单片机处理 P2.0-P2.6 为段数据口,I /O 连接至 74LS245,它是 8 路同相三态双向总线收发器可双向传输数据,通过 74LS245 用来驱动数码管。

显示屏主板控制电路以单片机STC89C52 为控制核心,P0.0-P0.3 口连接至 PT2272 解码芯片的输出口,将无线传输过来的信息经过 PT2272解码后输入至显示屏中的控制单片机。P1.0-P1.3 口作为篮球记分器位显示控制口,将控制信号通过74LS154译码器译码选择位选。

键盘是信息的输入窗口基于 STC80C51 单片机,采用矩阵键盘采用4条I /O线作为行线,3 条I/O线作为列线组成的键盘。在行线和列线的每个交叉点上设置一个按键,这样键盘上按键的个数就为3*4个。这种行列式键盘结构能有效地提高单片机系统中 I /O 口的利用率通。过软件编程可以消除键抖动,而达到更好的信息输入的作用。

主控制台提供给场地记分裁判使用。作为显示屏的同步监视器,当接通电源,时间显示的 7 位共阴极的数码管显示 00 00 00 时,按下12 min一键置位,即可以显示“12 00 24 00 00 ”表示第一节 12 min 以及 24 s 倒计时准备开始。按计时键时,时间开始倒计时比赛开始。并同时响铃记分显示:要给A队或者B队加分,分别按下不同的记分键+1 -1 键;当比赛出现暂定,比赛时间与24s 倒计时同时暂停并同时响铃提示。比赛结束时,响铃提示。进入第二节比赛、第三节比赛、第四节比赛与此类似。

当接通电源显示屏显示与主控制台一样,显示屏通过接收无线传输的数据信息,将信息通过单片机等控制同步显示给观众及球员。

总结

段磊

随着单片机载各个领域的广泛应用,许多用单片机作控制的球赛计时计分器系统也应运产生,如用单片机控制LCD液晶显示器计时计分器,用单片机控制LED七段显示器计时计分器等。

本文介绍一种有MCS-51 系列兼容的AT89S52 芯片编程控制LED七段数码管作显示的球赛计

时计分系统。本系统具有赛程定时设置、赛程时间暂停、及时刷新A、 B队双方的成绩以及赛后成绩暂存等功能。它具有是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易等特点。广泛适合各类学校和小团体作为赛程计时计分。

AT89S52 是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。采用单片机控制,响应迅速,判别精确;主控台面上有按键控制,可同时对比赛时的分数显示进行加分减分,能显示整个赛程的比赛时间,暂停时间和比赛的节数。

篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时系统是一种得分类型的系统。篮球比赛的计时系统由计时器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化智能化的核心部件

总结

高近近

如今, 学校班与班之间、小团体与小团体之间的各类球赛越来越频繁。这种比赛都是非正式的友谊比赛, 通常都缺少一个正规的赛程计时计分系统, 一般采取将比分直接记录在地上

或者翻比分簿的形式。针对这一情况, 本文设计出一种由单片机 AT89C51编程控制、 LED七段数码管作显示的球赛计时计分系统。该系统将比赛时间和比分记录在同一电路板上, 用电池供电, 因而具有操作灵活、使用方便、价格便宜、易于携带等特点, 特别适合各类学校和小团体赛程计时计分用。

本系统采用单片机 AT89C51作为设计的核心元件,利用 7段共阴 LED作为显示器件。此设计共接入 10个 7段共阴 LED显示器, 其中 6个用于记录甲、乙两队的分数, 每队 3个 LED显示器显示的分数范围可达到 0- 999分, 足够满足赛程需要; 另外 4个 LED显示器则用来记录赛程时间, 其中 2个用于显示分钟, 2个显示秒钟。赛程记时采用倒记时方式, 即比赛前将时间设置好, 比赛开始时启动计时, 直至计时到零为止。计时范围可达到 0-99分钟, 也完全满足实际赛程的需要。其次, 为了配合计时器和计分器校正、调整时间和比分, 我们特意在本设计中设立了 7个按键。其中 4个用于输入甲、乙两队的分数; 另外 3个则用于完成设置、调整、启动和暂停赛程时间等功能。再次, 我们还设计了定时报警系统, 即比赛时间到时, 我们立即通过扬声器发出报警声, 提示整个赛程结束。

软件程序流程如下所述, 程序初始化后, 将定时时间和甲、已两队分数清零; 设置定时器 T0工作在方式 1用于定时, 同时设置比赛时间, 等待定时启动按键按下。当定时启动后, 系统不断进行查询暂停 /交换以及分值加减。其中, 分值加、减功能是通过外部中断 0来实

现的。

最后,经过此设计证明, 小型团体非正式比赛的球赛计时计分系统计时、计分准确, 操作方便, 完全能满足小型团体比赛的计时计分要求。

总结

朱兆宁

本系统是采用单片机STC89C52RC 作为本设计的核心元件,CH451 作为数码管、键盘驱动芯片作为本设计的核心部件

CH451 是一个整合了数码管显示驱动和键盘扫描控制以及μP 监控的多功能外围芯片。

CH451 内置RC 振荡电路,可以动态驱动8 位数码管或者64 位LED,具有BCD 译码、闪烁、移位等功能;同时还可以进行64 键的键盘扫描;CH451 通过1 线或者可以级联的4 线串行接口与单片机等交换数据。该器件的特点是动态显示扫描控制,直接驱动8 位数码管或者64 位发光管LED;可选数码管的段与数据位相对应的不译码方式或者BCD 译码方式;字数据左移、右移、左循环、右循环。各数字独立闪烁控制。内置64 键键盘控制器,基于8_8 矩阵键盘扫描;内置去抖动电路;键盘中断,低电平有效输出;提供按键释放标志位。

体育比赛计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的计时计分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。

采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计数器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。

篮球比赛记分器设计

摘要:以AT89C52单片机为核心结合4*4矩阵键盘,使用两个四位七段数码管共同进行显示,完成了记分的功能;该装置可以显示双方比分,并能够根据实际情况对比赛的时间及分数进行修改。

关键词:AT89C52 篮球比赛记分器数码显示键盘扬声器

Design Of Basketball Scoring

Abstract: AT89C52 microcontroller core with 4 * 4 matrix keyboard, using two four seven-segment displays together to complete the scoring function; both the device can display the score, and according to actual situation on the game time an Scores to be modified.

Keyword: AT89C52, basketball scoring, digital display,keyboard,buzzer

一.设计任务与设计要求:

1. 设计内容

(1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1~9分。

(2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1~9分。

(3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示

(4)预置分通过甲、乙两队加分按钮实现。

2.设计要求

(1)方案合理、正确,系统稳定、可靠。

(2)软件设计要求尽可能精练、简短和运行可靠。

(3)硬件电路要求简单明了,以节约成本。

二:设计方案:

此记分器的设计采用模块化结构,主要由以下2个组成,即键盘模块、以及译码显示模块。以单片机为核心,配以一定的外围电路和软件,以实现比赛计分器的功能。它由硬件部分和软件部分组成。系统设计方案的硬件电路设计方框图如下图所示。

形键盘

AT89C52

甲显示器

乙显示器

硬件电路由复位按钮、AT89C52单片机、矩阵键盘和两个4位共阴极LED显示器等组成。

软件部分主程序主要由系统初始化段、键盘识别、键值处理、两个4位共阴极LED显示器扫描显示子程序组成。

三:硬件设计:

本设计主要采用了矩阵式结构的键盘显来控制显示,列线通过电阻接正电源,并将行线所接的单片机的I/O口作为输出端,而列线所接的I/O口则作为输入。这样,当按键没有按下时,所有的输出端都是高电平,代表无键按下。行线输出是低电平,一旦有键按下,则输入线就会被拉低,这样,通过读入输入线的状态就可得知是否有键按下了。具体的识别及编程方法如下所述。

判断键盘中有无键按下将全部行线Y0-Y3置低电平,然后检测列线的状态。只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与4根行线相交叉的4个按键之中。若所有列线均为高电平,则键盘中无键按下。

判断闭合键所在的位置在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭合的按键。

显示器采用两个四位共阴极LED显示器,来实现显示器的动态扫描,八个二极管连接一个阴极的结构,只要另一段为高电平,二极管就会发光,从而形成一段。将八段顺序排列后就成为具有一定编码的共阴显示器了。动态扫描的频率有一定的要求,频率太低,LED将出现闪烁现象。如频率太高,由于每个LED点亮的时间太短,LED的亮度太低,肉眼无法看清,所以一般均取几个ms左右为宜,这就要求在编写程序时,选通某一位LED使其点亮并保持一定的时间,程序上常采用的是调用延时子程序。

四:软件设计:

主程序主要由系统初始化段、开中断、键盘识别、键值处理、两个4位共阴极LED显示器扫描显示子程序和中断处理子程序等组成。通过对以上各段和子程序的结合,以实现系统功能。该系统主程序流程图下图所示。

始初化

主程序流程图

按键识别及处理程序主要由键盘识别和键值处理组成。其中键盘识别子程序不断地对键盘进行判断是否有键按下。当有键按下时则转到键码处理即甲、乙总分处理子程序对相应按键进行相应处理,即可实现对甲、乙两队总分的计算与处理。

键盘识别即依次判断第一列、第二列、第三列、第四列是否有键按下。如果有键按下,先得出列,再反向赋值得出行,最后得出所在行和列的位置。

键值处理即先定义0~9这九个按键,再对三次按键值进行保存,再来判断是甲队还是乙队加减分,再判断是加还是减,最后判断加或减多少分,实现分别给甲、乙总分进行加分和减分。键值处理即甲、乙总分处理子程序流程图如下图所示。 商

键盘识别

保存

甲/乙

是否为*

是否为*

对应处理加 显示

对应处理减 对应处理减

对应处理加 百位

甲/乙

除以100

由于该系统使用的是8位LED 显示器显示计分器比分,因此显示采用动态扫描显示方法,即由显示器扫描显示子程序控制显示器逐个循环从左至右依次点亮各个显示器。这样虽然在任一时刻只要一个显示器点亮,但是由于人眼具有视觉残留效应,看起来与全部显示器持续点亮效果一样。显示器扫描显示子程序显示每一位用如图所示流程方法完成.

上一位显示

下一位显示

五:结语:

在这次课程设计中,同学之间互相帮助,有什么不懂的大家在一起商量,发现我们所学的知识实在是有限,不过我们能够充分利用网络的优势去查阅资料。而且,课程设计中涉及到的电路图用了比较新的一款PROTEUS 进行设计和仿真,使我多掌握了一个得力的仿真工具。

十位

除以10

个位

从AT89C52的P1口送显示器位码

读相应存储单元数据 由读得数据查表确定段码由AT89C52送相应显示器位

我在整个设计过程中懂得了许多东西,当遇到一不了的问题,跟同伴一起商量、

合作,或许能收到事半功倍的效果。不管学什么,一定要打好基础,并将其学好、学精,但空有知识也不一定就能说明什么,还得能为己所用;还有更重要的是培养了独立思考和设计的能力,树立了对知识应用的信心,相信会对今后的学习工作和生活有非常大的帮助,并且提高了自己的动手实践操作能力,使自己充分体会到了在设计过程中的成功喜悦。虽然这个设计做的不是很完美,但是在设计过程中所学到的东西是这次课程设计的最大收获和财富,使我终身受益;最后,也是我感触比较深的一点,这次课程设计时为数不多的独立完成的一次,虽然过程中遇到很多困难,让自己犯愁过,彷徨过,急躁过,可当自己很快调整好心态,从跌倒的地方爬起来继续,最后取得成功,不止是成功后的喜悦,也是一次锻炼!

在这次课程设计中,我在整个设计过程中懂得了许多东西,也培养了独立思考和设计的能力,树立了对知识应用的信心,相信会对今后的学习工作和生活有非常大的帮助,并且提高了自己的动手实践操作能力,使自己充分体会到了在设计过程中的成功喜悦。虽然这个设计做的不是很理想,但是在设计过程中所学到的东西是

这次课程设计的最大收获和财富,使我受益良多。

六:参考文献:

[1]、《微型计算机原理及应用》许立梓编

机械工业出版社 2002

[2]、《微型计算机原理与接口技术》吴秀清编

中国科学技术出版社 2001

[3]、《单片机原理及及应用》王迎旭编

机械工业出版社 2001

[4]、《单片机应用程序设计技术》周航慈著

北京航空航天大学出版社 2002

[5]、《单片机实用技术问答》谢宜仁主编

人民邮电出版社 2002

附一:

程序清单:ORG 0000H LJMP START ORG 0030H START:

MOV 2AH,#0

MOV 4AH,#0

MOV DPTR ,#TAB

MOV 6AH,#07EH

MOV 6BH,#07DH

MOV 6CH,#05FH

MOV 6DH,#077H

MOV 6EH,#06FH

MOV 6FH,#07BH MAIN:

MOV P2,#0F0H

CLR P0.7

K0:

JB P2.4 ,K1

MOV A,P2

MOV 30H,A

MOV P2,#0FFH

MOV P2,#0FH

MOV A,P2

ORL A,30H

MOV 31H,A

LJMP KK

K1:

JB P2.5,K2

MOV A,P2

MOV 30H,A

MOV P2,#0FFH

MOV P2,#0FH

MOV A,P2

ORL A,30H

MOV 31H,A

LJMP KK

K2:

JB P2.6, K3

MOV A,P2

MOV 30H,A

MOV P2,#0FFH

MOV P2,#0FH

MOV A,P2

ORL A,30H

MOV 31H,A

LJMP KK

K3:

JB P2.7, LL

MOV A,P2

MOV 30H,A

MOV P2,#0FFH

MOV P2,#0FH

MOV A,P2

ORL A,30H

MOV 31H,A

LJMP KK

KK:

MOV DPTR,#TAB

MOV A,31H

MOV 31H,#0H

CJNE A,#07EH ,Z0

MOV A,2AH

XCH A,4AH

MOV 2AH,A

LJMP LOOP

Z0:

CJNE A,#07DH , Z1

PUSH Acc

MOV R6,#0FFH

CLR P0.7

K:

CPL P0.7

LCALL DELAY

DJNZ R6,K

POP Acc

LJMP LOOP

Z1:

CJNE A,#0D7H ,Z2

MOV A,2AH

SUBB A,#2H

MOV 2AH,A

LJMP LOOP

Z2:

CJNE A,#0EBH ,Z3

MOV A,#1H

ADD A,2AH

MOV 2AH,A

LJMP LOOP

Z3:

CJNE A,#0DBH ,Z4

MOV A,#2H

ADD A,2AH

MOV 2AH,A

LJMP LOOP

Z4:

CJNE A,#0BBH ,Z5

MOV A,#3H

ADD A,2AH

MOV 2AH,A

LL: LJMP LOOP

Z5:

CJNE A,#0EDH ,Z6

MOV A,4AH

SUBB A,#1H

MOV 4AH,A

LJMP LOOP

Z6:

CJNE A,#0DDH ,Z7

MOV A,4AH

SUBB A,#2H

MOV 4AH,A

LJMP LOOP

Z7:

CJNE A,#0BDH ,Z8

MOV A,4AH

SUBB A,#3H

MOV 4AH,A

LJMP LOOP

Z8:

CJNE A,#0EEH ,Z9

MOV A,#1H

ADD A,4AH

MOV 4AH,A

LJMP LOOP

Z9:

CJNE A,#0DEH ,Z10

MOV A,#2H

ADD A,4AH

MOV 4AH,A

LJMP LOOP

Z10:

CJNE A,#0BEH ,Z11

MOV A,#3H

ADD A,4AH

MOV 4AH,A

LJMP LOOP

Z11:

CJNE A,#0E7H ,Z12

MOV A,2AH

SUBB A,#1H

MOV 2AH,A

LJMP LOOP

Z12:

CJNE A,#0B7H ,LOOP

MOV A,2AH

SUBB A,#3H

MOV 2AH,A

LJMP LOOP

LOOP:

MOV A,2AH

MOV B ,#100

DIV AB

MOV 3AH,A

MOV A,B

MOV B ,#10

DIV AB

MOV 3BH,A

MOV 3CH,B

MOV R0,#3AH

MOV R1,#6AH

MOV R3,#3

L0P1:

MOV A,@R0

MOVC A,@A+DPTR

MOV P1,A

MOV A,@R1

MOV P0,A

MOV R6,#0FFH

DJNZ R6,$

MOV P0,#7FH

INC R0

INC R1

DJNZ R3,L0P1

MOV A,4AH

MOV B ,#100

DIV AB

MOV 5AH,A

MOV A,B

MOV B ,#10

DIV AB

MOV 5BH,A

MOV 5CH,B

MOV R0,#5AH

MOV R1,#6DH

MOV R3,#3

LOP2:

MOV A,@R0

MOVC A,@A+DPTR

MOV P3,A

MOV A,@R1

MOV P0,A

MOV R6,#8FH

DJNZ R6,$

MOV P0,#7FH

INC R0

INC R1

DJNZ R3,LOP2

JNB P0.6 ,SS

LJMP MAIN

SS: LJMP START

DELAY:

MOV R3,#5 D1: MOV R4,#20 D2: MOV R5,#10 DJNZ R5,$

DJNZ R4,D2

DJNZ R3,D1

RET

TAB:DB

3FH,06H,5BH,4FH,66H,6DH,7DH,07H, 7FH,6FH

END

附二:proteus仿真图:

附三:PCB原理图及仿真图:

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球计分器程序

#include #define uint unsigned int #define uchar unsigned char uchar code table[]=".. Play ball! ...."; uchar code table1[]="Welcome to here!" ; sbit key_ST=P1^5; //功能键(开始/暂停) sbit key_A1=P1^0; //功能键(A队分数加1) sbit key_A2=P1^3; //功能键(A队分数加2) sbit key_1A=P1^6; //功能键(A队分数减1) sbit key_B1=P1^1; //功能键(B队分数加1) sbit key_B2=P1^4; //功能键(B队分数加2) sbit key_1B=P1^7; //功能键(B队分数减1) sbit key_EX=P1^2; //功能键(交换场地,A/B两队分数交换) sbit key_JS=P3^0; //功能键(比赛节数加1) sbit FMQ=P3^3; //蜂鸣器 sbit lcdrs=P3^5; //LCD显示的数据/命令选择端 sbit lcden=P3^4; //LCD显示的使能信号 uchar flag,ms,mg,ss,sg,ags,agg,bgs,bgg,num,num1,num2,js=1,C,D,t,min=0,time_fmq; int sec=12; void delayms(uint xms) //定义延时函数 { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } void write_data(uchar date) //定义为数据模式{ lcdrs=1; P0=date; delayms(5); lcden=1; delayms(5); lcden=0; } void write_com(uchar com) //定义为命令模式 { lcdrs=0; P0=com;

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

简单篮球比赛计分器设计

目录 引言............................................................................................................................ I 1 设计意义与要求 (1) 1.1 设计意义 (1) 1.2 设计要求 (1) 2系统总体方案及硬件设计 (2) 2.1 AT89C51简介 (2) 2.2 数码管显示原理 (2) 2.3 系统总体方案 (3) 3 编程设计 (4) 3.1 定时/计数器 (4) 3.2 程序流程图 (4) 3.3 程序分析 (6) 4 Proteus软件仿真 (7) 4.1 Proteus仿真软件简介 (7) 4.2 仿真过程 (7) 5 调试分析 (10) 6 心得体会 (11) 致谢 (12) 参考文献 (13) 附录一程序清单 (14) 附录二电路原理图 (16)

篮球比赛计分器设计 引言 本系统是采用单片机AT89C51作为本设计的核心元件,利用7段共阳LED作为显示器件。在此设计中共接入了2个7段共阳LED显示器,用于记录该队的分数,2个LED 显示器显示范围可达到0~99分,基本满足赛程需要。当比赛队得1分时,按下S1键加1分,得2分时按S2键加2分,得3分时按下S3键加3分。如分数计错需减分时,每按一次S4键减1分。 采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:AT89C51 LED显示定时/计数器

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

篮球计分器课程设计

机械与电子工程学院 课程设计报告 课程名称单片机原理及接口技术设计题目篮球计分器的设计 所学专业名称自动化 班级111 学号011123 学生姓名小微 指导教师王老师 2014年5月10日

机电学院数字电子技术课程设计 任务书 设计名称:篮球计分器的设计 学生姓名:小微指导教师:王老师 起止时间:自2013 年 5 月12 日起至2013 年 5 月26 日止 一、课程设计目的 设计一种基于单片机技术对篮球比赛进行简单计分的篮球计分器。 二、课程设计任务: 1、能记录整个赛程的比赛时间,并能随时实现暂停。 2、能随时刷新甲、乙两队在整个过程中的比分, 加分有误时可通过按键实现减分调整。 3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。 4、比赛结束时,能发出报警声。 5需要调整比赛时间,可通过按键进行加时和减时的调整。 三、基本要求: 1、允许采用MCS-51系列或其他类型单片机。 2、系统程序采用汇编或C语言编制。 3、硬件原理图采用proteus软件绘制。 机电学院单片机课程设计

指导老师评价表

摘要与关键字 (1) 1.绪论 (2) 1.1设计背景 (2) 1.2计任务及要求 (2) 2.系统总体方案设计 (3) 2.1方案设计与选择 (3) 方案一: (3) 方案二: (4) 方案确立: (4) 3.系统硬件设计 (5) 3.1篮球计分器硬件设计的基本要求 (5) 3.1.1硬件设计基本要求 (5) 3.1.2引脚应用说明 (5) 3.1.3引脚排列图 (6) 3.2显示电路 (7) 3.2.1显示电路设计 (7) 3.2.2按键设计 (8) 3.4晶振电路模块 (8) 3.5复位电路模块 (9) 3.6报警模块 (9) 4. 系统程序设计 (10) 4.1 设计思想 (10) 4.2 主程序设计 (10) 5.仿真验证 (12) 6.结论 (13) 参考文献 (14) 附录一 (15) 附录二 (16)

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

单片机篮球计分器课程设计报告

电气工程及其自动化学院学生课程设计报告 题目:篮球记分器课程设计报告 指导老师:梁建伟 电气工程及其自动化学院 专业班级:电气131 姓名:杨昊东 1月14日

一、概述 目前,篮球计分器的设计是通过AT89C52单片机芯片的工作原理在原来的篮球计分系统的按键计分的基础上进行比赛比分的加减及启动暂停等操作,在基础功能上增 加了1s/次的24s倒计时报警功能。 本论文以一场篮球比赛具体计时计分方式为研究对象,以比赛规则为基础,对遥 控篮球计分系统进行了分析和研究。该设计主要通过对单片机的工作原理及对单片机 的应用,来实现一场模拟的篮球比赛的计时计分过程。在设计中使用了定时器T0中断来进行计时,显示分为计时和计分两部分,且都用动态扫描方式。主控芯片采用 AT89C52,利用了keil软件进行C语言进行编程,proteus软件进行电路图仿真,完成设计目标及功能的正常实现,再使用电子元器件进行实物焊接后进行实物仿真。 普通篮球比赛采用人工计分,而使用单片机来进行控制,操作便捷,LED数码管 显示简单易懂,安装方便。适合各种规模的篮球比赛,完全可以代替钟表型的人工计 时计分,是体育器材实现智能化的一个例子,推动了电子在体育比赛中的应用和普及。 其基本功能有: (1)当比赛开始按键按下时比赛开始,比赛时间倒计时, (2)比赛过程中通过红外遥控进行比分控制和暂停指令。 (3)24s违规倒计时,遥控按键控制;中场比分交换,按键控制, (4)比赛结束后通过复位按键进行清零,按下启动按键重新开始。 基本原理:

24秒计时器的总体参考方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用单片机定时器。 译码显示电路由共阴极七段LED显示器组成。报警电路在实验中用发光二极管和鸣蜂器。 主体电路: 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。 二、篮球计分系统硬件设计

篮球计分器课程设计

单片机原理与应用 课程设计报告 题目篮球记分器 姓名张浩浩张振鹏赵海晨 学号2013416915 2013416920 2013416921 院系物理工程学院 专业通信工程(物联网) 指导教师秦文华 2015年12月 20 日

目录 摘要 .............................................................................................................. 错误!未定义书签。关键词 ............................................................................................................ 错误!未定义书签。引言 ................................................................................................................ 错误!未定义书签。 1.系统方案说明.............................................................................................. 错误!未定义书签。 1.1 方案选择.......................................................................................... 错误!未定义书签。 1.1.1 篮球计时计分器设计的现状............................................... 错误!未定义书签。 1.1.2 系统总体设计方案............................................................... 错误!未定义书签。 1.2 系统基本功能介绍.......................................................................... 错误!未定义书签。 2.系统硬件电路设计...................................................................................... 错误!未定义书签。 2.1 篮球计时计分器电路原理图.......................................................... 错误!未定义书签。 ................................................................................................................ 错误!未定义书签。 2.2 篮球计时计分器电路工作过程...................................................... 错误!未定义书签。 2.3系统硬件电路组成........................................................................... 错误!未定义书签。 2.3.1 计时电路............................................................................... 错误!未定义书签。 2.4器件选择及介绍............................................................................... 错误!未定义书签。 2.4.1 AT89C51 ................................................................................ 错误!未定义书签。 3.软件系统设计.............................................................................................. 错误!未定义书签。 3.1系统设计流程图............................................................................... 错误!未定义书签。 3.2 电路仿真.......................................................................................... 错误!未定义书签。 4.调试 ............................................................................................................. 错误!未定义书签。 4.1 仿真调试.......................................................................................... 错误!未定义书签。 4.2电路板调试............................................................................................... 错误!未定义书签。总结........................................................................................................... 错误!未定义书签。参考文献......................................................................................................... 错误!未定义书签。致谢 ............................................................................................................ 错误!未定义书签。附录 ................................................................................................................ 错误!未定义书签。

篮球赛计时计分器

湘潭职业技术学院 毕业设计 题目篮球赛计时计分器 学生姓名 专业应用电子 指导教师 班级电子05301 2007年6月13日

目录 前言 一、功能说明…………………………………… 二、效果图…………………………………… 三、使用说明…………………………………… 四、原理图及工作原理………………………… 五、PCB……………………………………… 六、主要芯片资料应用说明…………………… 七、程序框图及说明…………………………… 八、调试………………………………………… 九、总结………………………………………… 十、参考文献……………………………………十一、附录(原程序)…………………………

前言 在之前的篮球比赛记录中,人们多用手写记录数据,到了赛程结束的时候,也是人们用哨子或枪声作为结束的暗号。随着中国体育的发展,中国在国际上的运动知名度越来越高,体育设备也越来越完善。渐渐地,篮球赛的出赛频率也越来越高了,很多学校、单位都开展了此类活动。人们开始使用翻牌计时计分器来记录球赛的赛程情况。此器具价格低廉、易随身携带,但无法记录赛程时间,且性能不很稳定,一旦遇到狂风暴雨就可能消除数据。 为了方便各类学校和小团体篮球赛的赛程清楚的显示,我们利用单片机AT89S51编程控制LED七段数码管设计了能显示的球赛计时计分器。同之前的记录器相比,它具有价格低廉、性能稳定、操作方便、易随身携带、功能多、运用范围广且扩展范围大等特点。还具有赛程定时设置、赛程时间暂停、及时刷新甲、乙队双方的成绩、赛后成绩暂存以及定时报警(比赛时间到时,我们立即通过蜂鸣器发出报警声,提示整个赛程结束)等功能。为记录篮球赛情况减少了人力和脑力资源,也为以后的全自动化奠定扎实的基础。因为时间和精力的问题,我们暂时只设计到此,以后还要不断的去扩展、完善,如:1.增加结束前15分钟的自动报时系统;2.可以设计双面功能:篮球赛时可作计时计分器,赛后可作为挂表,预报时间。3.增加赛时的场比系统等。通过制作篮球计时计分器,我们更加熟悉、了解有关单片机开发设计实例,并加深了对单片机的理解和运用以及掌握单片机与外

篮球比赛记分器设计1

篮球比赛记分器设计 Design Of Basketball Scoring 设计题目:篮球比赛记分器设计 学院名称:电气与信息工程学院 专业名称:电子信息工程 班级名称:电子 0841 学号: 31 11 13 43 完成时间:2011年7月6日 2011年7月6日 同组设计人名单及任务

总结 魏高峰 基于 MCS-51 系列中的 STC89C52 芯片应用开关电源,无线遥控,采用动态扫描方式等新型设计理念开发出具有主控同步监视、无线控制、声音提示等功能的智能篮球记分器。该系统能够完美地实现篮球比赛中准确计时、记分、24 s 倒计时。其控制系统的模块包含 7 bit 动态

显示数码记分模块 4bit 动态显示数码计时模块 A/B 两队加减分模块无线遥控模块和声音提示模块。 主控台电路基于单片机 STC80C52 为控制核心,单片机口作为篮球记分器监视器的位控制口,将控制信号通过 74LS154 译码器连接数码显示管,将单片机输出的编码进行 4-16 译码。从而控制数码显示管显示位口连接至发射模块PT2262 芯片,将键盘输入的信息通过单片机传输到2262 数据位中进行编码 P0 口作为键盘端口,将键盘矩阵的信息输入至单片机处理为段数据口,I /O 连接至 74LS245,它是 8 路同相三态双向总线收发器可双向传输数据,通过 74LS245 用来驱动数码管。 显示屏主板控制电路以单片机STC89C52 为控制核心,口连接至 PT2272 解码芯片的输出口,将无线传输过来的信息经过 PT2272解码后输入至显示屏中的控制单片机。口作为篮球记分器位显示控制口,将控制信号通过74LS154译码器译码选择位选。 键盘是信息的输入窗口基于 STC80C51 单片机,采用矩阵键盘采用4条I /O线作为行线,3 条I/O线作为列线组成的键盘。在行线和列线的每个交叉点上设置一个按键,这样键盘上按键的个数就为3*4个。这种行列式键盘结构能有效地提高单片机系统中 I /O 口的利用率通。过软件编程可以消除键抖动,而达到更好的信息输入的作用。 主控制台提供给场地记分裁判使用。作为显示屏的同步监视器,当接通电源,时间显示的 7 位共阴极的数码管显示 00 00 00 时,按下12 min一键置位,即可以显示“12 00 24 00 00 ”表示第一节 12 min 以及 24 s 倒计时准备开始。按计时键时,时间开始倒计时比赛开始。并同时响铃记分显示:要给A队或者B队加分,分别按下不同的记分键+1 -1 键;当比赛出现暂定,比赛时间与24s 倒计时同时暂停并同时响铃提示。比赛结束时,响铃提示。进入第二节比赛、第三节比赛、第四节比赛与此类似。 当接通电源显示屏显示与主控制台一样,显示屏通过接收无线传输的数据信息,将信息通过单片机等控制同步显示给观众及球员。 总结 段磊 随着单片机载各个领域的广泛应用,许多用单片机作控制的球赛计时计分器系统也应运产

相关主题