搜档网
当前位置:搜档网 › 北航2011-2012数字电路考试题答案

北航2011-2012数字电路考试题答案

北航2011-2012数字电路考试题答案
北航2011-2012数字电路考试题答案

北京航空航天大学

2011 ~2012 学年第一学期

《数字电路与系统》期末考试试卷(A卷)

答案及参考评分标准

一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在

括号内记“×”。

(1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×)

(2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电

流约为40μA)。…………………………………………………………(√)

(3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×)

(4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅

度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√)

二、(10分,每小题5分)

(1) 设逻辑函数为)()(),,,(C A D B C B A D C B A f +?++=,则它的反函数

),,,(D C B A f =)()(C A D B C B A ++??+

=A C B D C B C B D A B A ?+?+?++?

=C B D A B A ?++?

(写成“与或”表达式的形式,可以不用化简);则f (A ,B ,C ,D )的对偶式为

=),,,(D D C B A f )()(C A D B BC A ++?+

=D A BC A D BC BC AB ++++=AB +D A +BC

(可以不用化简)。

(2) 如图2-1,门电路G 1,G 2均TTL 工艺,当输入信号A 为低电平V IL , B 为高电平V IH 的情况下,图中T 点为__低__电平(填写“高”或“低”);如果采用正逻辑(即:高电平代表逻辑“1”,低电平代表逻辑“0”),请写出输出Y 关于A ,B ,C 的逻辑函数

Y (A ,B ,C )=C B A C B C A C B A C B A C B A C B A ?++=?++=??+??)( 。 说明:如果写成Y (A ,B ,C )=)(B A ?☉C ,得3分;(同或运算)

如果写成??

?=+=?0

1

C B

A C B

A ,得2分。

图2-1

三、(15分)如图3-1所示的电路,其中74151是“8选1”数据选择器;试进行如下的组合逻辑电路分析。

图3-1

(1) 写出该电路的逻辑表达式Y (A ,B ,C ,D );

(2) 将该逻辑表达式化简为最简“与或”表达式Y 1(A ,B ,C ,D );

(3) 设:根据应用的情况,还存在着无关项集合d (A ,B ,C ,D )={m 0,m 5,m 6,m 7},

利用这些无关项对逻辑函数进行化简,请以“与非——与非”形式写出化简后的结果Y 2(A ,B ,C ,D )。 解:

(1) Y (A ,B ,C ,D )=ABC D C AB C B A D C B A D C B A +?++???+??? (2) Y 1(A ,B ,C ,D )=C A D C B D C B ?+??+??

说明:(参考卡诺图)

(3) Y 2(A ,B ,C ,D )= Y 1(A ,B ,C ,D )+ d (A ,B ,C ,D )=AC D C D B ++=AC D C D B ??

说明:(参考卡诺图)

可以使用不同的化简方法,答案为:AC D A D B ???

四、(15分)已知电路原理图如图4-1所示,CP1、CP2的波形如图4-2所示,

设触发器的初始状态均为“0”,请在图4-2中画出输出端B和C的波形。

图4-1

图4-2

解:

评分标准:①只要画对任意一次B、C和CP1/CP2的配合关系,即可得7分,其余二次,B和C的波形每对1次,2分;②如果三次配合关系都不对,则B和C 的波形每对1次,2分;③如果画得配合关系无法识别,则能够正确识别上升沿

触发,每对1次,1分;④如果画出了异步清零的门传输延迟,则是更加精确的答案,同样可以得分,且可以在出现其它失误的时候可以酌情加1~2分。

五、(15分)分析如图5-1所示的时序逻辑电路,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,其中X 为输入的逻辑变量。

图5-1

解:驱动方程:010Q X Q X D ?+?=

; 101Q X Q X D ?+?= 。 状态方程:011

Q X Q X Q n ?+?=+ ;

101

1

Q X Q X Q n ?+?=+ 。

输出方程:0110110)(Q Q Q X Q X Q Q Q X Y ?+?=+?=+= 。 状态转换表:

X Q 1 Q 0 Q 1n +1 Q 0 n +1 Y 0 0 0 0 1 0 0 0 1 1 1 0 0 1 0 0 0 1 0 1 1 1 0 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1

1

1

1

状态转换图:

说明:①如果没有画出状态转换图,而是画出了状态转换表,可以给过程分,但此项的“过程”与“结果”的分数分配为3+2——即如果转换表完全正确,但没有画图,只给3分;②如果状态转换表错误,但画出的图与表是完全一

致的,则可以得2分,再根据转换表的错误情况在3分范围内扣分——每错1行扣1分,扣完为止。

六、(20分)设计一个彩灯控制的时序逻辑电路,要求红(R )、黄(Y )、绿(G )

三种颜色的灯在时钟信号CP 的作用下按表6-1规定的顺序转换状态。表中“1”表示“亮”,“0”表示“灭”。 要求电路能够自启动。 可供选用的器件为:上升沿触发的JK 触发器、与非门、反相器。 请简要说明设计过程,并绘制电路图。

表6-1

解:(解法一)

采用3个触发器,分别代表红、黄、绿的状态Q R 、Q Y 和Q G 。

状态转换表(这里画出卡诺图形式的状态转换表,如果画成真值表形式的也

可给分)

化简,对于JK 触发器,有

状态方程:R Y R Y n R Q Q Q Q Q +=+1 ;Y G Y G n Y Q Q Q Q Q +=+1

G Y R Y R G Y R Y R n Y Q

Q Q Q Q Q Q Q Q Q Q )()(1+++=+

驱动方程:

???==Y R Y R Q K Q J ; ??

?==G Y G Y Q K Q J ;???

???+=+=+=Y

R Y R Y R Y R G Y R Y R G Q Q Q Q Q Q Q Q K Q Q Q Q J 。

为了能够自启动,修正设计:

状态方程为:R Y R Y n R Q Q Q Q Q +=+1 ;Y G Y G n Y Q Q Q Q Q +=+1 ;

G Y R Y R G R Y n Y Q Q Q Q Q Q Q Q Q )()(1

+++=+ 。

驱动方程为:

???==Y R Y

R Q K Q J ; ??

?==G

Y G Y Q K Q J ;???

?

???=?+=+==Y

R Y R Y R Y R Y R Y R G R Y G Q Q Q Q Q Q Q Q Q Q Q Q K Q Q J 。 绘制电路图:

解:(解法二)

用JK 触发器构造出7进制计数器,再对技术状态进行译码,得到序列输出。 状态转换表:

状态方程:

2120112Q Q Q Q Q Q n +?=+ ;1021011Q Q Q Q Q Q n ?+=+ ;

010210Q Q Q Q Q n ?+?=+ 。

驱动方程:

??

?==120

12Q K Q Q J ;????==0210

1Q Q K Q J ;?

??=?=+=1012120K Q Q Q Q J

输出方程

012Q Q Q R += ; 120Q Q Q Y += ; 1201Q Q Q Q G ?+?= 。

电路原理图

七、(15分)综合分析图7-1所示的电路。其中,芯片74160为同步十进制加法

计数器,其操作特性如表7-1所示;PROM 的16个地址单元中的数据在表7-2种列出,设初始时刻计数器状态为0000,要求: (1) 请说明555定时器构成什么类型的电路;

(2) 请说明在图7-1中,芯片74160被配置为多少进制的计数器;

(3) 芯片CB7520为10位D/A 转换器,输出表达式为:

i i i

d

V

v 229

10

REF O ?-=∑=,

请在图7-2中画出D/A 转换器输出电压v O 的波形图。

表7-2 PROM 的16个地址单元中的数据

图7-1

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航网络教育电力系统分析开卷考试考前试题与答案三

北航网络教育-《电力系统分析》开卷考试考前试题与答案(三) 一、 简答题 1. 循环功率是如何定义的? 在两端电压不相等的两端供电网络中,各线段中流通的功率可看作是两个功率分量的叠加。其一为两端电压相等时的功率;另一为取决于两端电压的差值dU 和环网总阻抗的功率,称循环功率。 2. 简述电力系统稳态运行的基本要求? 答:三相电力系统满足统经济性运行的要求,每一台发电机的输出必须接近于预先设定值;必须确保联络线潮流低于线路热极限和电力系统稳定极限;必须保持某些中枢点母线上的电压水平在容许范围内,必要时用无功功率补偿计划来达到; 区域电网是互联系统的一部分,必须执行合同规定的输送至邻网的联络线功率计划; 用故障前的潮流控制策略使事故扰动效应最小化。 3. 试说明潮流计算中如何对节点进行分类?其特点如何? 答: 1)平衡节点,一般一个系统只有一个平衡节点。在潮流分布算出以前,网络中的功率损耗是未知的,因此,至少有一个节点的有功功率P 和无功功率Q 不能给定。另外必须选定一个节点,制定其电压相角为零,作为其它节点电压相位的参考,这个节点叫基准节点。为了计算方便,常将平衡节点和基准节点设在同一个节点上。平衡节点是电压参考节点,该母线的11U δ∠是给定值,作为输入 数据,典型取标幺值1.00∠o 。潮流程序计算P 1和Q 1。因为平衡节点的P 、Q 事先 无法确定,为使潮流计算结果符合实际,常把平衡节点选在有较大调节裕量的发电机节点,潮流计算结束时若平衡节点的有功功率、无功功率和实际情况不符,就要调整其他节点的边界条件以使平衡节点的功率满足实际允许范围。2)PQ 节点,P i 和Q i 是输入数据。这类节点的有功功率P i 和无功功率Q i 是给定的,潮流计算程序计算节点电压幅值U i 和相角i δ。负荷节点和无功功率注入的联络节点都属于这类节点。有些情况下,系统中某些发电厂送出的功率在一定时间内为固定时,该发电厂母线也可以作为PQ 节点。在一个典型的潮流程序中绝大多数母线作为PQ 节点。3)PU 节点(电压控制母线),P i 和U i 是输入数据。这类节点的有功功率P i 和节点电压幅值U i 是给定的,潮流程序计算节点的无功功率Q i 和电

32-北航机械设计答案—螺纹连接(2)

第32章螺纹连接的设计32-1试找出图32-27中所示螺纹连接结构中的错误,并改正。 (a) (b)

(c) (d) 图32-27 32-13有一刚性凸缘联轴器,用材料为Q235的普通螺栓连接以传递转矩T,现欲提高其传递的转矩,但限于结构不能增加螺栓的直径和数目,试提出三种能提高联轴器传递转矩的方法。 答:①可以适当增加结合面的数量;

②可以适当增加预紧力; ③可以适当增加接合面的粗糙度,以提高摩擦因数。 (如果第1种,不能实现,可以增加结合面数量) 32-26图32-43为由两块边板和一块承重板焊成的龙门起重机导轨托架,两块边板各用4个螺栓与工字钢相连接,托架所受载荷随吊重量不同而变化,其最大载荷为20kN。试确定应采用哪种连接类型,并计算出螺栓直径。 图32-43 解:根据托架的结构,可以采用普通螺栓连接或铰制孔螺栓连接。 (1)采用普通螺栓连接 螺栓组受横向载荷:kN F R 20 = 旋转力矩:m N m N L F T R ? = ? ? = ? =6000 300 20 ①在横向载荷 R F作用下,各螺栓所受预紧力,由公式(32-23),取12 .0 ,2.1= = f f kμ,8 ,1= =z m可得 kN kN mz F k F s R f25 8 1 12 .0 20 2.1 1 '= ? ? ? = = μ

② 在旋转力矩作用T 下,各螺栓所受预紧力,由公式(32-27)可得 kN kN r T k F z i i s f 71.702 75812.060002.11 2'=???= = ∑=μ 其中mm mm r r r 2751501502 1 22821=+= === (此题应该采用你第一次的方法,只是21F F F '+'='),因为预紧力的方向为轴向方向,直接相加 从图32-43(b )可知,各螺栓所受合预紧力为 kN kN kN F F F F F 14.90135cos 71.7025271.7025135cos 222'2'12 '22'1'=???-+=-+= 选取螺栓强度等级为10.9级,可得MPa s 900=σ,取螺栓连接的安全系数5.1][=S ,则螺栓材料的许用应力MPa MPa S s 6005.1/900]/[][===σσ,则所需的螺栓危险剖面的直径为 mm mm F d 78.15600 14.31014.903.14][3.143 '=????=?=σπ 按GB169-81,选用M16的螺栓。 (请用下面的方法计算一下,看看结果)结果差距很大 先把横向力合成,得到最大的横向力,然后用(32-23),计算预紧力。 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 在横向载荷20kN 的作用下,各螺栓所受的横向力为 kN kN z F F R R 5.28 20'=== 在旋转力矩T 的作用下,各螺栓所受横向力为 kN kN r T k F F z i i f s R 49.82 75860002.11 '2' =??= = =∑=μ

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航数电2015试题及答案

数字电子技术基础(A 卷)(无答案) 一. 解答下列问题(共40分,每小题5分) 1. 十进制数 X = 117,其ASCII 码表示为: 。 在8位机器中,[X]补 = ,[-X]补 = 。 2. 已知逻辑函数:()F A C BC A B CD =+++,直接用反演规则写出其反函数和对偶函数。 3. 用卡诺图化简逻辑函数∑∑+=)15,1013,8,2,1()14,12,7,6,0(44d m F 4. 用OC 门驱动发光二极管电路如图,若V F =2V ,I F =20mA ,试完善电路并计算电阻R=? 5. 画出图示电路的输出波形 6. 主-从JK 触发器,已知CP 、J 、K 信号波形如图示,画出输出波形(初始状态为0)。 A B C Y Y A B C & E n

7. 分析函数 F AB ABC =+ 所组成的电路存在何种险象。 8. 图示电路中触发器: 建立时间 t su = 20ns , 保持时间 t h = 5ns , 传输迟延时间t pdcp-Q,/Q = 30ns , 门G 迟延t pd G = 10ns , 时钟脉冲F max = ? 二. 逻辑函数 (,,)F A B C ABC BC AC =++(本题共14分,每小题7分) 1. 用3-8译码器及适当门电路实现。 2. 用“四选一”数据选择器及适当门电路实现。 CP J K Q D Q CP CK G

三. 分析下列电路所实现的逻辑功能(本题共16分,每小题8分) 1. 由2-5-10进制异步计数器构成的电路。 2. 由74LS163构成计数器电路。 四. 某同步时序系统的原始状态表如图示(本题15分) 1. 用隐含表法化简; 2. 自然序编码; 3. 用JK 触发器及适当门设计电路; 4. 检查是否可以自启动。 CP Q A Q B Q C Q D CK 1 CK 2 R D X Qn 0 1 A B/0 A/1 B C/0 A/0 C C/0 B/0 D E/0 D/1 E C/0 D/0 P Q A Q B Q C Q D T PC A B C D L P Q A Q B Q C Q D T PC A B C D L “1” “1”

北航轴的结构设计改错——机械设计基础

机械设计基础 ——轴的结构设计改错一、指出图中结构不合理之处,并改正。 1、 答案: 1)左端轴承处的弹性挡圈去掉。 2)右端轴承处轴肩过高,应改为低于轴承内圈。 3)齿轮右端用轴套固定,与齿轮配合的轴头长度应小短于齿轮轮毂宽度。 4)左端轴承处应有越程槽。 5)联轴器没固定,左端应改为轴肩固定。 6)右端轴承改为轴套定位。 7)与齿轮配合处的键槽过长,应短于其轮毂宽度。 8)齿轮应改为腹板式结构。 9) 将联轴器的周向固定,改为键联接。 2、

主要结构错误: 1)与齿轮处键槽的位置不在同一母线上;2)端盖孔与轴径间无间隙; 3)左轴承端盖与箱体间无调整密封垫片;4)轴套超过轴承内圈定位高度; 5)三面接触,齿轮左侧轴向定位不可靠;6)键顶部与齿轮接触; 7)无挡油盘; 8)两轴承端盖的端面处应减少加工面。3、

1)轴承内外圈剖面线方向不一致,应改为方向一致; 2)左端轴承用轴肩定位,且轴肩不高于轴承内圈; 3)齿轮没有轴向固定,改为左端用轴环,右端用轴套固定;4)与左端轴承配合的轴段上应有砂轮越程槽; 5)联轴器没有轴向定位,应必为用轴肩定位; 6)右端轴承改为加大定位和固定,且低于轴承内圈; 7)与齿轮配合的轴段应有键槽; 8)齿轮改为腹板式结构性 9)轴的右端键槽过长,改为短于联轴器的孔的长度。 4、 答案: 1)左边轴肩高于轴承内圈; 2)与齿轮配轴段太长,齿轮轴向未定位; 3)齿轮与轴承间缺套筒; 4)右边轴肩过高超过轴承内圈; 5)右端盖与轴接触; 6)右端盖处缺少密封圈; 7)皮带轮周向定位缺键槽;; 8)皮带轮孔未通。

答案: 此轴系有以下6个方面13处错误: 1)轴承类型配用不合适: 左轴承为角接触轴承,角接触轴承不能单个使用; 2)转动件与静止件直接接触: 轴身与右端盖之间无间隙; 3)轴上零件未定位、未固定: 套筒未可靠的固定住齿轮; 联轴器轴向未固定; 联轴器周向未固定; 4)工艺不合理: 轴外伸端无轴肩,轴承不易装; 装轴承盖箱体的加工面与非加工面没有分开; 轴承与轴承座之间无调整垫片,轴承的轴向间隙无法调整; 轴上的键槽过长; 左轴承处轴肩过高,轴承无法拆卸; 5)润滑与密封问题: 轴承脂润滑而无挡油环; 端盖上无密封件; 6)制图投影错误 箱体孔投影线未画

34_北航机械设计答案—滚动轴承(2)

第34章 滚动轴承 34-10 改正图34-29中的错误结构并说明理由。 (a ) (b ) (c ) (d )

(f ) (g ) (h )应是基轴制 (d 图,原意是轴稍微短一些,以免轴与轴端挡板接触) 均为标注错误,g 只要标注轴的公差,h 只要标注孔的公差,且一般轴处为过盈配合) 34-11 图34-30所示,斜齿轮轴采用一对7207AC (46207)轴承支承,已知斜齿轮的圆周力 N F t 3500=,径向力N F r 1200=,轴向力N F a 900=,轴的转速N F t 3500=,轴承的 冲击载荷系数2.1=d f ,温度系数1=T g ,额定动载荷N C r 25400=。试计算该对轴承的寿命(用小时计)。

图34-30 解:(1)计算轴承所受轴向力 在垂直面内对B 取矩,有 40301001?=?+?r a v r F F F 故 N N F F F a r v r 210100 30 90040120010030401=?-?=?-?= N N F F F r r v r 990)2101200(12=-=-= 在水平面内对B 取矩,有 401001?=?t h r F F 故 N N F F t h r 1400100 40 3500100401=?=?= N N F F F h r t h r 2100)14003500(12=-=-= 所以,两个轴承所受径向力分别为 N N F F F h r v r r 66.14151400210222 1211=+=+= N N F F F h r v r r 66.232121009902222222=+= += 由题目中给出的表可得 N N F F r s 962.99066.14157.07.011=?== N N F F r s 162.162566.23217.07.022=?==

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

北航机设课程答辩部分答案

机设答辩 1.(机械系统的总体设计包括哪些内容,设计原则有哪些?) 总体设计包括:对所拟定的设计方案进行必要的计算,如总传动比和各级传动比、各轴的受力、转矩、转速、功率等,并对执行机构和传动机构进行初步设计,进行分析比较,择优确定一个正确合理的设计方案,绘制传动装置和执行机构的总体方案简图。 设计原则包括:A创新原则 B 安全原则 C技术经济原则 D 工艺性原则 E 维护性原则 11. (工业生产中哪种类型的原动机用得最多?它有何特点?) 电动机用得最多,它具有效率高、价格低、选用方便等特点。 12. (如何根据工作机所需功率确定所选电动机的额定功率?工作机所需电动机的功率与电动机的额定功率关系如何?设计传动装置时采用哪一功率计算?) 先根据工作机所需功率确定工作机所需电动机的功率,再根据工作机所需电动机的功率确定电动机的额定功率,电动机的额定功率一般要略大于工作机所需电动机功率,设计传动装置时采用输出功率计算。 13. (电动机转速的高低对设计方案有何影响?) 转速高,电动机质量小,价格便宜,但总传动比大,传动装置外廓尺寸大,结构不紧凑,制造成本高,转速低则相反。 14. (机械装置的总效率如何计算?确定总效率时要注意哪些问题?) 传动装置总效率=电动机效率×V带传动效率×齿轮传动效率×滚动轴承效率的n次方×输送带传动效率 15. (分配传动比的原则有哪些?传动比的分配对总体方案有何影响?工作机计算转速与实际转速间的误差应如何处理? 展开式二级圆柱齿轮减速器传动比一般推荐按i1=(1.3~1.4) i2分配;同轴式二级减速器可取i1约等于 i2。 总传动比的实际值与设计要求值的允许误差为3%~5% 16. (传动装置中各相邻轴间的功率、转速、转矩关系如何?) 可参见表2-7 17. (传动装置中同一轴的输入功率与输出功率是否相同?设计传动零件或轴时采用哪个功率?)

北航机械设计基础期中考试题

课堂测试与练习 一、概念题 1、机械是由哪几种组成的,各起什么作用? 2、什么叫零件?什么叫构件? 3、简述运动副的作用及其种类;每种运动副所具有的约束是 什么? 4、什么是机构及其平面机构?平面机构具有确定运动的条件 是什么? 5、四杆机构存在曲柄的条件是什么? 6、简述三心定理,并证明。 7、试分析滚子半径的大小对凸轮实际轮廓线的影响; 8、渐开线有哪些重要性质?在研究渐开线齿轮啮合的哪些原 理时曾经用到这些性质? 9、简述齿轮啮合基本定律,并证明。 10、试比较斜齿轮与直齿轮有什么不同? 11、试推导直齿圆锥齿轮的当量齿轮; 12、什么是周转轮系?它的组成是什么? 13、试证明棘轮机构的工作条件是φ>ρ; 二、计算自由度 1、计算压力机工作机构的自由度;

2、计算加药机构自由度,给出确定运动条件; 3、计算教学参考书P19 (题1-10 )冲压机构的自由度,并 指出机构中复合铰链、局部自由度、虚约束; 三、已知一翻料机构,连杆长BC=400mm,连杆两个位置如图 所示(自己画),要求机架AD与B1C1平行,且在其下相距35mm,试设计四杆机构。 四、用反转法原理,确定图中凸轮从图示A点位置转过 60后

的压力角,并标在图上。(见教学参考书P52,题3-1图) 五、 设计尖顶对心移动从动件凸轮机构 已知:mm 35min =γ,mm h 20=,从动件的运动规律如下:当凸轮以等角速度1ω顺时针旋转ο90时,从动件以等加速度等减速运动;当凸轮自ο90转到ο180时,从动件停止运动;当凸轮自ο180转到ο270时,从动件以等速回原处;当凸轮自ο270转到ο360时,从动件又停止不动。 六、 设计一曲柄摇杆机构 已知摇杆mm CD 290=,摇杆两极限位置的夹角ο32=ψ,行程速度变化系数25.1=K 。若曲柄mm AB 75=,求连杆BC 和机架长度AD 。 七、 已知:一对外啮合标准直齿圆柱齿轮的参数为 25.0,1,20,2,120,2421======**c h mm m Z Z a οα,试求其传动比12i 、 两轮的分度圆直径、齿顶圆直径、全齿高、标准中心距及分度圆齿厚和齿槽宽。 八、 图示的吊车起升传动机构,已知: 110,67,19321===Z Z Z ,87,36,15654===Z Z Z 。电动机1m 和2m 的角速度s rad /6.6121==ωω。试计算两台电动机同时工作以及一台停止工作时,与系杆H 相固联的卷筒7的角速度?7==H ωω

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

北航操作系统补考试卷.参考答案.doc

《操作系统》试卷 一、名词解释题(每题5分,共25分) 1、原语 2、快表 3、设备无关性 4、临界资源 5、文件系统 二、判断题(每题1分,共5分) 1、临界区的执行不能被中断。() 2、资源顺序分配法破坏了死锁发生的循环等待必要条件。() 3、对磁盘进行磁头调度的目的是为了缩短寻道时间。() 4、采用页式存储管理时,重定位的工作是由用户完成的。() 5、与设备相关的中断处理过程由设备驱动程序完成。() 三、简答题(每题5分,共20分) 1、进程的含义是什么?如何构造和描述进程? 2、什么是死锁?产生死锁的必要条件是什么? 3、什么是开中断?什么是关中断? 4、分页存储管理中有哪几种常用的页面置换算法? 四、银行家算法(10分) 在银行家算法中,若出现以下资源分配情况: 进程资源最大需求已分配资源 P0 7,5,3 0,1,0 P1 3,2,2 2,1,0 P2 9,0,2 3,0,2 P3 2,2,2 2,1,1 P4 4,3,3 0,0,2

系统剩余资源数量:(3,2,2)。 (1)该状态是否安全(给出详细的检查过程)? (2)若系统剩余资源数量为(3,1,0),系统是否安全?若系统处于安全状态,请给出安全序列;若系统处于不安全状态,请说明原因。 五、设备管理(10分) 设某计算机系统有一块CPU、一台输入设备、一台打印机。现有两个程序同时进入就绪状态,进程A先得到CPU运行,进程B后运行。进程A的运行轨迹为:计算50ms,打印信息100ms,再计算50ms,打印信息100ms,结束。进程B的运行轨迹为:计算50ms,输入数据80ms,再计算100ms,结束。试画出它们的时序关系图,并说明: (1)开始运行后,CPU有无空闲等待?若有,在哪段时间内等待?计算CPU的利用率。 (2)进程A运行时有无等待现象?若有,在什么时候发生等待现象? (3)进程B运行时有无等待现象?若有,在什么时候发生等待现象? 六、进程同步(15分) 桌子上有一只盘子,每次只能放入或者取出一个水果。现有许多苹果与橘子。一家4口人各行其职。爸爸专向盘子中放入苹果,妈妈专向盘子中放橘子,儿子专等吃盘子中的橘子,女儿专等吃盘子中的苹果。请用P操作, V操作来实现4人之间的同步算法。 七、存储管理(15分) 在分页虚拟存储管理系统中,假定系统为某进程分配了四个主存块(将开始4页先装入主存),页的引用顺序为:7,1,2,0,3,0,4,2,3,0,3,2,7,0,1,若采用FIFO调度算法,LUR调度算法时,分别产生多少次缺页中断?一次淘汰的页分别是什么?

北航机械设计试题

北京航空航天大学 学年 第一学期期末 《机械设计A4》 考试 A 卷 班 级______________学 号 _________姓 名______________成 绩 _________ 年月日

班号学号姓名成绩 《机械设计A4》考试卷 注意事项: 1、所有题目按步给分,非标准合理答案适当给分,但不超过该步骤的二分之一,计算过程纯计算错误不重复扣分。 2、本试卷共8页,所有题目均在本试题册上作答,拆页或少页本试题册无效。 题目: 一、填空 ……………………………………………………………( 25 分) 二、选择填空 …………………………………………………………( 5 分) 三、简答 ……………………………………………………………( 20 分) 四、分析计算 ……………………………………………………………( 35 分) 五、结构设计 ……………………………………………………………( 15 分) 题号 1 2 3 4 5 成绩

一.填空 ………………………………………………… (共25分,每空0.5分) 1.轴上零件的固定主要是将轴与轴上零件在,和方向上以适当的方式固定。 2.按轴负担的载荷分类,自行车的中轴属于轴;前轴属于轴;后轴 属于轴。 3.带传动的主要失效形式为和,其传动比不稳定主要 是由引起的。 4.闭式软齿面齿轮设计时,考虑到其主要失效形式为 所以一般按 照 强度进行设计,按照 强度进行校核。 5.当滚动轴承在基本额定动载荷作用下运行时,其所能达到的基本额定寿命为 , 此时滚动轴承的工作可靠度R为。 6.齿轮强度计算中的齿形系数主要取决于 和 。 7.设计中提高轴的强度可以采用、等方法,提高 轴的刚度可以采用等方法。 8.斜齿轮传动与直齿轮相比较,其优点为 、 和 ,开式齿轮传动与闭式齿轮传动比较,其不足之处有 。9.形成流体动力润滑的条件是,, 及。10.三角形螺纹的牙型角α= ,适用于 是因为其 ;矩形 螺纹的牙型角α= ,适用于 是因为其 。 11.螺纹防松是要防止 之间的相对运动;常用方法有如,如,如。 12.斜齿轮传动的标准模数是,圆锥齿轮传动的标准模数是, 加工标准直齿轮不发生根切的最小齿数是。 13.代号为71208的滚动轴承,该轴承的类型为,轴承的宽度系列 为,内径尺寸为 mm,精度等级为级。 14.普通平键连接的工作面为,用于轴与轴上零件的固定,传 递。

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

(完整版)机械设计课后习题答案

第一章绪论 1-2 现代机械系统由哪些子系统组成,各子系统具有什么功能? 答:组成子系统及其功能如下: (1)驱动系统其功能是向机械提供运动和动力。 (2)传动系统其功能是将驱动系统的动力变换并传递给执行机构系统。 (3)执行系统其功能是利用机械能来改变左右对象的性质、状态、形状或位置,或对作业对象进行检测、度量等,按预定规律运动,进行生产或达到其他预定要 求。 (4)控制和信息处理系统其功能是控制驱动系统、传动系统、执行系统各部分协调有序地工作,并准确可靠地完成整个机械系统功能。 第二章机械设计基础知识 2-2 什么是机械零件的失效?它主要表现在哪些方面? 答:(1)断裂失效主要表现在零件在受拉、压、弯、剪、扭等外载荷作用时,由于某一危险截面的应力超过零件的强度极限发生的断裂,如螺栓的断裂、齿轮轮齿根部的折断等。 (2)变形失效主要表现在作用在零件上的应力超过了材料的屈服极限,零件产生塑性变形。 (3)表面损伤失效主要表现在零件表面的腐蚀、磨损和接触疲劳。 2-4 解释名词:静载荷、变载荷、名义载荷、计算载荷、静应力、变应力、接触应力。答:静载荷大小、位置、方向都不变或变化缓慢的载荷。 变载荷大小、位置、方向随时间变化的载荷。 名义载荷在理想的平稳工作条件下作用在零件上的载荷。 计算载荷计算载荷就是载荷系数K和名义载荷的乘积。 静应力不随时间变化或随时间变化很小的应力。 变应力随时间变化的应力,可以由变载荷产生,也可由静载荷产生。 2-6 机械设计中常用材料选择的基本原则是什么? 答:机械中材料的选择是一个比较复杂的决策问题,其基本原则如下: (1)材料的使用性能应满足工作要求。使用性能包含以下几个方面: ①力学性能 ②物理性能 ③化学性能 (2)材料的工艺性能应满足加工要求。具体考虑以下几点: ①铸造性 ②可锻性 ③焊接性 ④热处理性 ⑤切削加工性 (3)力求零件生产的总成本最低。主要考虑以下因素: ①材料的相对价格 ②国家的资源状况 ③零件的总成本 2-8 润滑油和润滑脂的主要质量指标有哪几项? 答:衡量润滑油的主要指标有:粘度(动力粘度和运动粘度)、粘度指数、闪点和倾点等。 衡量润滑脂的指标是锥入度和滴度。

模拟电子电路实验报告

实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R B1和R B2的电流远大于晶体管T 的 基极电流I B时(一般5~10倍),则它的静态工作点可用下式估算

CC B2 B1B1 B U R R R U +≈ U CE =U CC -I C (R C +R E ) 电压放大倍数 be L C V r R R βA // -= 输入电阻 R i =R B1 // R B2 // r be 输出电阻 R O ≈R C 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所用元器件的参数,为电路设计提供必要的依据,在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质放大器,必定是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。 放大器的测量和调试一般包括:放大器静态工作点的测量与调试,消除干扰与自激振荡及放大器各项动态参数的测量与调试等。 1、 放大器静态工作点的测量与调试 1) 静态工作点的测量 测量放大器的静态工作点,应在输入信号u i =0的情况下进行, 即将放大 C E BE B E I R U U I ≈-≈

北航2012年机械设计期末试卷答案

北京航空航天大学2011-2012 学年第二学期期末 《机械原理》 A卷 评分标准 2012年6月5日

班级__________ 学号__________ 姓名__________ 成绩__________ 《机械原理》期末考试卷 注意事项: 1、请将解答写在试卷上; 2、草稿纸上的解答不作为批改试卷的依据; 3、图解法解答请保留作图过程和作图辅助线。 题目: 一、机构自由度计算…………………………………………………………(14分) 二、机构运动分析……………………………………………………………(14分) 三、连杆机构设计……………………………………………………………(15分) 四、凸轮机构…………………………………………………………………(14分) 五、齿轮机构…………………………………………………………………(15分) 六、轮系………………………………………………………………………(14分) 七、机械系统动力学…………………………………………………………(14分)

一、计算图示运动链的自由度。若有复合铰链、局部自由度或虚约束,必须指出。(已知ABCD 和CDEF 是平行四边形。) 共14分 F 处为复合铰链 (2分) I (或J )为虚约束 (1分) CD (或AB )为虚约束 (2分) 滚子K 处为局部自由度 (1分) (5分) (3分)

二、在下图所示的机构中,已知原动件1以等角速度ω1沿逆时针方向转动,试确定: (1)机构的全部瞬心; (2)构件3的速度v 3(写出表达式)。 共14分 (1)该机构有4个构件,所以共有6个瞬心。通过直接判断,可以得到瞬心P 14、P 24 和P 34的位置,如习题2-21解图所示。 (3分) 依据三心定理,瞬心P 12应位于P 14和P 24的连线上;另外,构件1和2组成高副,所以瞬心P 12还应位于构件1和2廓线在接触点处的公法线nn 上,这样就得到了瞬心P 12的位置,如下图所示。 同理可得到瞬心P 23。再应用三心定理,就可以求得瞬心P 13。 (6分) (2)因为构件1的运动为已知,而要求的是构件3的速度,所以应用瞬心P 13来求得构件3的速度为 l P P P v v μω?==14131313,方向向上。 (5分)

北航电子电路设计数字部分实验报告.doc

电子电路设计数字部 分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据 a 、b 的大小,若相同,则给出结果1,否则给出结果 0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用 always 块和 @(posedge clk) 或 @(negedge clk) 的结构表述一个 1/2 分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航网络教育_《电力系统分析》开卷考试考前试题和答案解析(一)

一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ① ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ??

相关主题