搜档网
当前位置:搜档网 › EDA技术与应用实验指导书2015

EDA技术与应用实验指导书2015

EDA技术与应用实验指导书2015
EDA技术与应用实验指导书2015

《EDA技术实验》实验指导书

适用专业:南湖电信

2015年4月

前言

一、课程性质

本课程是电信工程、通信工程、信息工程和自动化专业必修的专业实验课程。

通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为实现工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。

二、项目设置

本课程总学时为24,开设的具体实验项目如下:

●实验1 平台的应用与全加器设计(4学时,必修)

●实验2 基于lpm_rom的乘法器和并行乘法器设计(4学时,必修)

●实验3 基于lpm_rom的信号发生器设计(4学时,必修)

●实验4 数字频率计设计(4学时,必修)

●实验5 交通灯控制器设计(4学时,选修)

●实验6 数字电压表的设计(4学时,选修)

三、本书特点

本指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为将来成为卓越工程师打下坚实的基础。

目录

第一章实验系统 (1)

1.1 整体组成 (1)

1.2 核心板 (1)

1.3主要模块 (1)

第二章开发平台 (5)

2.1 Quartus II简介 (5)

2.2 Quartus II开发流程 (5)

第三章实验项目 (9)

实验1 平台的应用与全加器设计 (9)

实验2 基于lpm_rom的乘法器和并行乘法器设计 (11)

实验3 基于lpm_rom的正弦信号发生器设计................. 错误!未定义书签。

实验4 数字频率计设计 (14)

实验5 交通灯控制器设计 (20)

实验6 数字电压表的设计

附录实验报告基本要求 (23)

第一章实验系统

1.1 整体组成

实验室采用NC-EDA-2000C实验系统,整体组成如下图所示。

图1.1 NC-EDA-2000C实验系统组成框图

1.2 核心板

实验系统的核心板采用Altera公司的EP1K10TC100-3芯片,位于实验箱组成框图的14所示位置,具有低内核电压、低功耗的特点。芯片内门电路高达1万门,内部使用RAM作电路结构,速度高达几百MHZ,其输出可用管脚已全部开放。

1.3主要模块

一、显示模块

1、液晶显示模块

实验系统采用进口双排16字符液晶显示模块组成,位于实验系统组成框图的16所示位置。其输入、输出信号在其下方,由13个连接孔与其它模块连接。

2、8位数码管

位于实验系统组成框图的9所示位置,采用2个共阴高红7段数码管组成,

位选信号在数码管的左边由连接孔SEL0、SEL1、SEL2与其它模块连接。

3、8×8LED点阵

位于实验系统组成框图的15所示位置,横排8位显示的控制信号在点阵右边的3-8译码器的下方,由SEL0、SEL1、SEL2连接孔与其它模块连接。

4、8位发光二极管

位于实验系统组成框图的13所示位置。其输入由位于其下方的8位连接孔与其它模块连接,可以模拟二进制数据输出。

二、接口模块

实验系统有视频接口(VGA)、USB接口、RS232接口、通信模块的接口等几个模块。VGA接口位于实验组成框图的19所示位置,USB接口位于实验组成框图的24所示位置,RS232接口位于实验组成框图的25所示位置。其信号输入输出均由位于模块左边的连接孔与其它模块连接。通信模块的输入输出位于实验系统组成框图的17、18所示位置。

三、输入模块

1、4×4键盘

在实验系统组成框图的22所示位置。4×4键盘主要是通过编程实现0~F 的输入,也可以作为一个控制键。在其上方的连接孔R1、R2、R3、R4控制横向4位;C1、C2、C3、C4控制纵向4位。

2、8位复位开关

复位开关可以通过手动控制为系统提供脉冲信号。在系统中一共提供了8位的按键开关,当按下键后其输出为低电平,反之则为高电平。其输出端是模块上方对应的连接孔K1~K8。

3、8位DIP开关

位于实验系统组成框图中的21所示位置。主要功能是能保持高低电平,通过手动控制为系统提供稳定的逻辑信号。系统总共提供了8位拨档开关,当开关的档位在上方时则输出高电平,反之则为低电平。其输出端是模块上方对应的连接孔S1~S8。

4、24M~2Hz分频电路

在实验系统组成框图的20所示位置。在这个模块中采用了两个时钟源,一个是24M的高频时钟,另一个是32768Hz能完成二次分频的时钟。时钟输出通过其上方的四组跳线改变其频率的输出,每一组频率相对独立。其频率值在电路板上均已标明。每一组的频率输出端是上方对应的CLK1、CLK2、CLK3、CLK4连接孔。

四、模数转换模块

1、ADC0809芯片

ADC0809芯片是8通道、8位逐次逼近式A/D转换器,位于实验系统组成框图中的3所示位置,完成模/数转换。

CH0~CH7为8个模拟输入通道,其输入端是上方对应的CH0~CH7连接孔。AD0~AD7为数据输出端,通过其右边对应的D0~D7连接孔与其它模块连接。其它管脚通过其下方对应的连接孔与控制信号相连。

2、DAC0800芯片

DAC0800芯片是8位分辨率的D/A转换芯片,具有连接简单、转换控制方便、价廉等优点,位于实验系统组成框图中的5所示位置,完成数/模转换。

8位数据输入端由下方的D0~D7连接孔输入,模拟信号输出由LF411下方的V out连接孔输出。

五、控制模块

1、电梯

在本实验系统中模拟的是1个三层电梯上下的模块。位于实验系统组成框图的12所示位置。4个按键如上面标志所示分别表示每层楼上、下的请求信号,信号通过其右边对应的4个连接孔1KU(一楼上信号)、2KD(二楼下信号)、2KU(二楼上信号)、3KD(三楼下信号)与其它模块连接。在中间有2个黄色的指示灯和2个绿色的指示灯。黄色的指示灯表示楼层有下的请求信号;绿色的指示灯表示楼层有上的请求信号。通过其右边对应的4个连接孔1U(一楼上信号)、2U(二楼上信号)、2D(二楼下信号)、3D(三楼下信号)与其它模块连接。模块最右边的3个红灯表示电梯到达楼层的标志信号,通过其右边对应的3个连接孔与其它模块连接。

2、交通灯

在实验系统组成框图的11所示位置。由12个红黄绿灯按交通灯方式排列的交通灯模块,可做交通灯或舞台灯光实验。

3、步进电机

位于实验系统组成框图的10所示位置。通过对模块下方的4个连接孔A、

B、C、D输入控制信号来控制步进电机的转动。

六、存储模块

在本系统中采用1个8K×8位的E2PROM 2864芯片,通过对其编程,可为其它模块提供波形信号。在实验系统组成框图的4所示位置。

七、蜂鸣器模块

蜂鸣器在实验系统组成框图中位于6所示位置,由1个蜂鸣器和1个喇叭组成,是为了配合有些实验需要报警发声等要求而设置的。实验系统可以通过对中间的1个跳线的改变来选择蜂鸣器或喇叭,当跳线帽在左边时选择的是喇叭,反之则选择的是蜂鸣器。对模块下方的SPEAKER连接孔输入1个时钟信号使蜂鸣器或喇叭发声。

第二章开发平台

2.1 Quartus II简介

Quartus II是Altera公司的综合性PLD开发软件,支持原理图、VHDL、Verilog HDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II支持Altera的IP核,集成了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

2.2 Quartus II开发流程

一、创建工程

1、建立工程目录

新建一个文件夹,作为工程目录。

2、新建工程(File | New Project Wizard)

(1)指定工程目录、名称和顶层设计实体

(2)选择目标芯片

3、新建设计文件(File | New)

4、保存文件File | Save As

二、编译工程(Processing | Start Compilation)

全程编译包括排错、数据网表文件提取、逻辑综合、适配、装配文件生成,以及基于目标器件的工程时序分析等。

如果有错误,可双击错误说明条文,修改源程序,重新编译。

三、时序仿真

1、新建波形文件(File | New)

选择Other Files中的Vector Waveform File

2、保存文件(File | Save As)

3、设置仿真时间区域(Edit | End Time)

4、添加端口(Edit | Insert Node or Bus | Node Finder)

5、编辑输入波形

6、启动时序仿真(Processing | Start Simulation )

四、观察RTL 电路

Tools | RTL Viewer

五、引脚分配(Assignments | Assignment Editor )

当引脚分配后,必须重新编译一次。 六、下载(Tools | Programmer )

1、设置编程器

选择波形 翻转局部波形

全屏视图 查找节点 未初始化 强制低电平

高阻抗 无关 计数值 任意值 对齐网格

弱低电平

添加注释 缩放视图

替换节点 强制未知 强制高电平 弱未知 翻转波形 时钟信号 随机值 排序 弱高电平

2、启动编程

七、运行

搭建好外围电路后,观察实验系统运行情况,如果与预期的功能不符,应重新修改源程序。

第三章实验项目

实验1 平台的应用与全加器设计

实验学时:4

实验类型:设计

实验要求:必修

一、实验目的

通过本次实验,掌握Quaru II软件的开发流程,学会全加器图形化设计方法。

二、预习与参考

1、系统方案

利用Quaru II集成的门元件,先设计一个半加器,将半加器生成元件符号后,用两个半加器组成一个全加器。

2、设计半加器

半加器原理图

生成元件符号,单击菜单File | Create/Update

3、设计全加器

全加器原理图

三、实验要求

1、功能要求

实现加法计数,完成和与进位的计算。

2、预习要求

在实验前提交设计方案,给出原理图。

四、实验条件

PC机,EDA实验系统。

五、调试及结果测试

1、建立工程

2、搭建电路

3个拨码开关分别连接a,b和cin,代表被加数、加数和低位进位;

2个发光二极管分别连接cout和sum,代表和、高位进位。

3、运行工程

拨动开关,改变被加数、加数和低位进位,观察发光二极管显示的和与高位进位。

六、实验报告要求

应提供原理图和测试结果,总结调试过程,并回答思考题。

七、思考题

1、如何采用Verilog文本方式实现全加器?

实验2 基于lpm_rom的乘法器和并行乘法器设计

实验学时:4

实验类型:设计

实验要求:必修

一、实验目的

通过本次实验,掌握Quarutus II的宏功能模块设计的应用,学会基于ROM 的乘法器和并行乘法器的设计方法,并将它们作比较。

二、预习与参考

1、基于ROM的乘法器系统方案

基于存储器模块库中的lpm_rom,构成一个4bit×4bit的无符号数乘法器。

预先计算出两个数的乘积,并放在ROM中,将两个数分别作为ROM地址的高4位和低4位,读取存储单元来得到两数的乘积。

此方法的优点是运算速度快,缺点是ROM需分配较多的存储单元。

2、整体电路

基于lpm_rom的乘法器电路

3、新建MIF文件

(1)File | New,选择Other Files中的Memory Initialization File

(2)设置字数为256,字宽为8

(3)输入乘积数据

ROM单元格

4、定制lpm_rom元件

(1)引入lpm_rom

新建原理图文件,插入megafunctions | storage中的lpm_rom (2)设置数据线和地址线宽度

(3)设置控制端口

(4)添加MIF文件

5、用Verilog语言实现并行加法器的功能,作出仿真。

三、实验要求

1、功能要求

先采用基于ROM的乘法器实现乘法运算,计算两个4位无符号数的乘积;再用并行乘法器实现同样的乘法运算,并将它们作比较。

2、预习要求

在实验前提交设计方案,给出原理图和MIF文件。

四、实验条件

PC机,EDA实验系统。

五、调试及结果测试

1、分别建立工程

2、搭建两种方法的乘法器电路

clk连接1KHz时钟;

8个拨码开关分别连接a,b,代表被乘数和乘数;

8个发光二极管连接result,代表乘积。

3、运行工程

拨动开关,改变被乘数和乘数,观察发光二极管显示的乘积。

六、实验报告要求

应提供原理图和测试结果,总结调试过程,并回答思考题。

七、思考题

1、如何设计基于lpm_rom的4bit×4bit的有符号数乘法器?

实验3 正弦信号发生器设计

实验学时:4 实验类型:综合 实验要求:必修 一、实验目的

通过本次实验,掌握信号发生器工作原理,学会D/A 转换控制和宏功能模块的设计方法。 二、相关知识点

信号发生器工作原理、D/A 转换控制、宏功能模块 三、实验原理、方法和手段

1、系统方案

设计一个信号发生器,能输出正弦波信号。

利用宏功能模块来定制ROM ,在ROM 中放置正弦波波形的码表,顺序输出各点对应的数字信号给DAC 芯片,转换为电压信号,连续输出即可得到相应的波形。

信号发生器的整体结构如下图所示。

信号发生器设3 引入256KHz 基准时钟。

频率设置开关共4个,用来改变输出信号的频率。 2、DAC 芯片DAC0800

DAC0800是美国国家半导体公司生产的CMOS 工艺8通道,8位逐次逼近式D/A 转换器。具有连接简单、转换控制方便和价廉等优点。

基准时钟

频率设置

DAC0809驱动电路

3、定制lpm_rom元件

(1)新建MIF文件

可用C语言或MATLAB编程,得到MIF文件,包含正弦波波形对应的码表。设置字数为128,字宽为8位。

(2)引入lpm_rom

使用宏功能模块向导,单击菜单Tools | Megawizard Plug-In Manager。

(3)设置数据线和地址线宽度

(4)设置控制端口

(5)添加MIF文件

四、实验条件

PC机,EDA实验系统。

五、调试及结果测试

1、建立工程

2、搭建电路

基准时钟连接128KHz;

频率设置接4个拨码开关;

DAC0800的数据输入端D0~D7连接FPGA芯片;

DAC0800的模拟信号输出端V out连接示波器。

3、运行工程

拨动开关,改变频率,用示波器观察输出端的波形。六、实验报告要求

应提供源程序和测试结果,总结调试过程,并回答思考题。

七、思考题

1、怎样提高输出波形的精度?

实验4 数字频率计设计

实验学时:4

实验类型:综合

实验要求:必修

一、实验目的

通过本次实验,掌握频率测量原理,学会级联计数和数码管动态显示的设计方法。

二、相关知识点

频率测量原理、级联计数、数码管的译码和动态显示

三、实验原理、方法和手段

1、系统方案

设计一个4位10进制频率计,能测出0~9999Hz范围内的信号频率。

采用1Hz的标准时钟,对待测信号的脉冲数进行计数。通过4位数码管来显示待测信号的频率值。

2、测频模块

测频模块结构

控制模块各信号的时序如下所示。

控制信号的时序关系

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

基础工业工程实验指导书完整

实验1 流程程序分析 一、实验目的 1、学会用程序分析符号、记录并绘制某产品(或零件、服务)的流程程序图。 2、学会用“5W1H”分析(完成了什么?何处做?何时做?由谁做?如何做?为什么要这样做?)技术发掘问题,用“ECRS”原则来改进程序。 二、实验说明 1、流程程序分析是以产品或零件的加工全过程为对象,运用程序分析技巧对整个流程程序中的操作、搬运、贮存、检验、暂存五个方面加以记录和考查、分析。流程程序分析是对生产现场的宏观分析,但它比工艺流程更具体、内容更详细,用途更广泛。 2、运用“5W1H”提问技术,对“操作”、“搬运”、“贮存”、“检验”、“暂存”五个方面进行考查、逐项提问,从而达到考查、分析、发掘问题的目的。 3、在发掘问题的基础上,应用取消、合并、重排、简化四大原则来建立新的程序。 三、实验器材 电子天平、电子秒表、计算器、胶带台、胶带、胶水、记录板、A4纸、包装纸、物流箱等。 四、实验分组 5~6人一组,1人模拟顾客,1人模拟邮局业务员,1人使用记录板记录,1人使用电子秒表测时,其他人认真观察,做些辅助工作。 五、实验内容及步骤 本实验模拟邮局邮包发送流程,可参考下列流程进行: (1)顾客到达。(流程分析起点); (2)询问业务; (3)等待顾客填单; (4)从顾客手中接邮包和填好的包裹单;

(5)包装邮寄物; (6)称重; (7)使用计算器计算邮资;(2元起价,含200克,200克以上按1分/克计算邮资) (8)向顾客收取邮资; (9)登帐(实为计算机操作,这里用手工记账代替); (10)贴包裹单; (11)贴邮票; (12)将包裹放入邮件暂存箱; (13)把包裹单第二联交顾客; (14)顾客离开,服务结束。 实验时,先模拟1~2遍,然后负责记录的同学使用流程图符号记录“邮局业务员”的实际工作流程,绘制流程程序分析简图。同时记录时间和移动距离等参考数据。 六、实验报告要求 使用实习报告纸或课程设计纸书写。实验报告应包含以下内容: (1)实验目的;(2)实验器材;(3)实验分组;(4)实验内容与步骤; (5)5W1H分析过程;(6)ECRS改善过程;(7)规范的以为人主的流程程序图(含现行方法和改善方法)。(8)对分析改善进行总结。

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

电力电子实验指导书.

电力电子技术 实 验 指 导 书 北京化工大学信息科学与技术学院电工电子教学实习中心 二零零四年六月

目录 实验1 三相桥式全控整流电路的性能研究(设计性) (1) 实验2 直流斩波电路的性能研究(设计性) (5) 实验3 单相交流调压电路的性能研究(设计性) (7) 实验4 单相交直交变频电路的性能研究(设计性) (9)

实验1 三相桥式全控整流电路的性能研究(设计性) 1. 实验目的 熟悉三相桥式全控整流电路的接线,器件和保护情况。明确对触发脉冲的要求。观察在电阻负载、电阻电感负载和反电动势负载情况下电路的输出电压和电流的波形。 2. 实验内容 1)熟悉实验装置的电路结构和器件,检查连接主电路和触发电路的接插线,检查快速熔断器是否良好。电路见实验图1,其中实验图1a为主电路,图中所接负载为电感电阻负载,实验中也可以接电阻负载。实验图1b所示为触发电路,该触发电路由3片集成触发电路芯片KJ004和1片集成双脉冲发生器芯片KJ041组成。触发电路产生的触发信号用接插线与主电路各晶闸管相连接。 2) 熟悉采用KJ004和KJ041构成的触发电路。

6)接电阻电感负载时,在3L R ω>的情况下,调节p u 使0=co u 时0≈d U ,以后p u 固定不变,通过调节变阻器的阻值(有条件的也可改变电感值)改变负载阻抗角?,对于不同的?,观察不同的α时d u 、d i 、和T u 的波形,注意电流临界连续时,α和?的配合情况。记录触发角α分别为0?、30?、60?和90?时co u 与d U 的数值。 7)负载端接平波电抗器和直流他励电动机的电枢,合闸时必须注意使0=co u 、 90α≈?和0≈d U ,随后逐步调节co u ,观察d u 、d i 、L u 和电枢端D u 的波形,适量加载,并分别观察接上电抗器与短接电抗器时d i 的波形,注意电流断续时的现象。 3. 实验报告 1) 估算实验电路参数并选择测试仪表。 2) 分析触发器输出的双脉冲波形。 3) 分别绘制出电阻负载、电感电阻负载时α-L d U U 2/曲线。 4) 不同负载时,不同α与?时电流连续与断续的情况与分析。 5) 讨论与分析实验结果,特别注意对实验过程中出现的异常情况进行分析。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

实验指导书-全概论

《材料制备与表征实验》 (Experimental of Materials Preparation and Characterization Techniques) 指 导 书 中国矿业大学材料学院 2009 1 18

实验一溶胶凝胶法制备陶瓷粉体与性能表征 一、溶胶-凝胶法的基本原理 1846年法国化学家J.J.Ebelmen用SiCl4与乙醇混合后,发现在湿空气中发生水解并形成了凝胶。20世纪30年代W.Geffcken证实用金属醇盐的水解和凝胶化可以制备氧化物薄膜。1971年德国H.Dislich报道了通过金属醇盐水解制备了SiO2-B2O-Al2O3-Na2O-K2O多组分玻璃。1975年B.E.Yoldas和M.Yamane制得整块陶瓷材料及多孔透明氧化铝薄膜。80年代以来,在玻璃、氧化物涂层、功能陶瓷粉料以及传统方法难以制得的复合氧化物材料得到成功应用。 溶胶-凝胶法是用含高化学活性组分的化合物作前驱体,在液相下将这些原料均匀混合,并进行水解、缩合化学反应,在溶液中形成稳定的透明溶胶体系,溶胶经陈化胶粒间缓慢聚合,形成三维空间网络结构的凝胶,凝胶网络间充满了失去流动性的溶剂,形成凝胶。凝胶经过干燥、烧结固化制备出分子乃至纳米亚结构的材料。 胶体(colloid)是一种分散相粒径很小的分散体系,分散相粒子的重力可以忽略,粒子之间的相互作用主要是短程作用力。 溶胶(Sol)是具有液体特征的胶体体系,分散的粒子是固体或者大分子,分散的粒子大小在1~1000nm之间。 凝胶(Gel)是具有固体特征的胶体体系,被分散的物质形成连续的网状骨架,骨架空隙中充有液体或气体,凝胶中分散相的含量很低,一般在1%~3%之间。 溶胶-凝胶法的化学过程首先是将原料分散在溶剂中,然后经过水解反应生成活性单体,活性单体进行聚合,开始成为溶胶,进而生成具有一定空间结构的凝胶,经过干燥和热处理制备出纳米粒子和所需要材料。其最基本的反应是: (l)水解反应:M(OR)n +H2O → M (OH) x (OR) n-x +xROH (2) 聚合反应:-M-OH +HO-M-→ -M-O-M-+H2O -M-OR +HO-M-→ -M-O-M-+ROH 溶胶-凝胶法与其它方法相比具有许多独特的优点:(1)由于溶胶-凝胶法中所用的原料首先被分散到溶剂中而形成低粘度的溶液,因此,就可以在很短的时间内获得分子水平的均匀性,在形成凝胶时,反应物之间很可能是在分子水平上被均匀地混合。(2)由于经过溶液反应步骤,那么就很容易均匀定量地掺入一些微量元素,实现分子水平上的均匀掺杂。(3)与固相反应相比,化学反应将容易进行,而且仅需要较低的合成温度,一般认为溶胶一凝胶体系中组分的扩散在纳米范围内,而固相反应时组分扩散是在微米范围内,因此反应容易进行,温度较低。(4)选择合适的条件可以制备各种新型材料。 溶胶一凝胶法金属化合物经溶液、溶胶、凝胶而固化,再经低温热处理而生成纳米粒子。其特点反应物种多,产物颗粒均一,过程易控制,适于氧化物和Ⅱ~Ⅵ族化合物的制备。溶胶一凝胶法作为低温或温和条件下合成无机化合物或无机材料的重要方法,在软化学合成中占有重要地位。在制备玻璃、陶瓷、薄膜、纤维、复合材料等方面获得重要应用,更广泛用于制备纳米粒子。 二、实验目的与要求 1.了解溶胶一凝胶法的基本原理。 2.通过实验掌握溶胶凝胶的实验方法。 3.了解并掌握分体颗粒的基本表征方法。 三、实验过程与细节 1. 实验药品及配制 实验所用原料见表1。用去离子水配制0.5M的(NH4)2 HPO4溶液1000ml。无水乙醇配制0.5 M的Ca(NO3)2溶液1000 ml。Ca含量采用EDTA络合滴定分析,含量用磷钼酸喹啉重量法测定。分别用1+1的

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

显微镜与望远镜实验指导书_全

一、实验目的 1.通过实验掌握显微镜、望远镜的基本原理; 2.通过实际测量,了解显微镜、望远镜的主要光学参数; 3.根据指示书提供的参考材料自己选择2套方案,测出水准仪的放大率并比较与实验结果是否相符。 二、实验器材 1.显微镜实验:测量显微镜、分辨率板、分辨率板放大图、透明刻线板、台灯,高倍(40×、45×)、中倍(8×或10×)、低倍(2.5×、3×或4×)显微物镜各一个,目镜若干(4×、5×、10×、15×等)。 2.望远镜实验:25×水准仪、平行光管、1×长工作距测量显微镜、视场仪、白炽灯、钢板尺、升降台、光学导轨、玻罗板、分辨率板。 三、实验原理 (1)显微镜原理: 显微镜是用来观察近处微小物体细节的重要目视光学仪器。它对被观察物进行了两次放大:第一次是通过物镜将被观察物成像放大于目镜的分划板上,在很靠近物镜焦点的位置上成倒立放大实像;第二次是经过目镜将第一次所成实像再次放大为虚像供眼睛观察,目镜的作用相当于一个放大镜。 由于经过物镜和目镜的两次放大,显微镜总的放大率Γ应是物镜放大率β和目镜放大率Γ1的乘积。 Γ=β×Γ 1 绝大多数的显微镜,其物镜和目镜各有数个,组成一套,以便通过调换获得各种放大率。显微镜取下物镜和目镜后,所剩下的镜筒长度,即物镜支承面到目镜支承面之间的距离称为机械筒长。我国标准规定机械筒长为160毫米。 显微镜的视场以在物平面上所能看到的圆直径来表示,其视场受安置在物镜像平面上的专设视场光阑所限制。 显微镜的分辨率即它所能分辨的两点间最小距离: nSinU λδ61.0= 式中:λ为观测时所用光线的波长;nSinU 为物镜数值孔径(NA )。 从上式可见,在一定的波长下,显微镜的分辨率由物镜的数值孔径所决定,光学显微镜的分辨率,基本上与所使用光的波长是一个数量级。为了充分利用物镜的放大率,使被物镜分辨出来的细节,能同时被眼睛所看清,显微镜应有恰当的放大率。综合考虑显微物镜和人眼自身的分辨率,可得出显微镜适当的放大率范围是: 500NA<Γ<1000NA 这个范围的放大率称为有效放大率。如使用比有效放大率更小的放大率,则不能看清物镜已经分辨出的某些细节;如取用高倍目镜得到比有效放大率上限更

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

电力电子实验指导书完全版

电力电子技术实验指导 书 目录 实验单相半波可控整流电路实 验 实验三相桥式全控整流电路实 验 实验三单相交流调压电路实 验 实验四三相交流调压电路实 验

实验装置及控制组件介绍11

实验一单相半波可控整流电路实验 一、 实验目的 1. 熟悉单结晶体管触发电路的工作原理及各元件的作用; 2. 对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全面分析; 3. 了解续流二极管的作用; 二、 实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发 电路的 输出端“ G'和“K'端接至晶闸管的门极和阴极,即构成如图1-1所示的实验线路。 图1-1单结晶体管触发的单相半波可控整流电路 三、 实验内容 1. 单结晶体管触发电路的调试; 2. 单结晶体管触发电路各点电压波形的观察; 3. 单相半波整流电路带电阻性负载时 Ud/U 2=f (a)特性的测定; 4. 单相半波整流电路带电阻电感性负载时续流二极管作用的观察; 四、 实验设备 1. 电力电子实验台 2. RTDL09实验箱 3. RTDL08实验箱 4. RTDL11实验箱 5. RTDJ37实验箱 6. 示波器; 7. 万用表; 五、 预习要求 1. 了解单结晶体管触发电路的工作原理,熟悉 RTDL09实验箱; 2. 复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻感性负载时, 电路各 部分的电压和电流波形; 立VD 币L 11

3.掌握单相半波可控整流电路接不同负载时 Ud Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud晶闸管VT两端电压波形U VT,调节电位器RP1,观察a =30°、60°、90°、120°、150°、180° 时的Ud U VT 波形,并测定直流输出电压Ud和电源电压U,记录于下表1-1中。 表1-1 2.单结晶体管触发电路的调试 RTDL09的电源由电源电压提供(下同),打开实验箱电源开关,按图1-1电路图接线,负载为RTDJ37实验箱,选择最大的电阻值,调节移相可变电位器RP1,用示波器观察单结晶体管触发电路的输出电压波形(即用于单相半波可控整流的触发脉冲)。 4.单相半波可控整流电路接电阻电感性负载 将负载改接成阻感性负载(由滑动变阻器Rd与平波电抗器串联而成,RTDL08实验箱提供电感)。不接续流二极管VD,在不同阻抗角(改变Rd的电阻值)情况下,观察并记录 a =30°、60°、90 0、120°时的Ud及U VT的波形。 接入续流二极管VD,重复上述实验,观察续流二极管的作用记录于下表计算 1-2 中。 公式:Ud=[0.45*U2*(1+cosa) ]/2 表1-2

电磁场实验指导书及实验报告

CENTRAL SOUTH UNIVERSITY 题目利用Matlab模拟点电荷电场的分布姓名xxxx 学号xxxxxxxxxx 班级电气xxxx班 任课老师xxxx 实验日期2010-10

电磁场理论 实验一 ——利用Matlab 模拟点电荷电场的分布 一.实验目的: 1.熟悉单个点电荷及一对点电荷的电场分布情况; 2.学会使用Matlab 进行数值计算,并绘出相应的图形; 二.实验原理: 根据库伦定律:在真空中,两个静止点电荷之间的作用力与这两个电荷的电量乘积成正比,与它们之间距离的平方成反比,作用力的方向在两个电荷的连线上,两电荷同号为斥力,异号为吸力,它们之间的力F 满足: R R Q Q k F ? 212 = (式1) 由电场强度E 的定义可知: R R kQ E ? 2 = (式2) 对于点电荷,根据场论基础中的定义,有势场E 的势函数为 R kQ U = (式3) 而 U E -?= (式4) 在Matlab 中,由以上公式算出各点的电势U ,电场强度E 后,可以用Matlab 自带的库函数绘出相应电荷的电场分布情况。 三.实验内容: 1. 单个点电荷 点电荷的平面电力线和等势线 真空中点电荷的场强大小是E=kq /r^2 ,其中k 为静电力恒量, q 为电量, r 为点电荷到场点P(x,y)的距离。电场呈球对称分布, 取电量q> 0, 电力线是以电荷为起点的射线簇。以无穷远处为零势点, 点电荷的电势为U=kq /r,当U 取

常数时, 此式就是等势面方程.等势面是以电荷为中心以r 为半径的球面。 平面电力线的画法 在平面上, 电力线是等角分布的射线簇, 用MATLAB 画射线簇很简单。取射线的半径为( 都取国际制单位) r0=, 不同的角度用向量表示( 单位为弧度) th=linspace(0,2*pi,13)。射线簇的终点的直角坐标为: [x,y]=pol2cart(th,r0)。插入x 的起始坐标x=[x; *x].同样插入y 的起始坐标, y=[y; *y], x 和y 都是二维数组, 每一列是一条射线的起始和终止坐标。用二维画线命令plot(x,y)就画出所有电力线。 平面等势线的画法 在过电荷的截面上, 等势线就是以电荷为中心的圆簇, 用MATLAB 画等势 线更加简单。静电力常量为k=9e9, 电量可取为q=1e- 9; 最大的等势线的半径应该比射线的半径小一点 r0=。其电势为u0=k8q /r0。如果从外到里取7 条等势线, 最里面的等势线的电势是最外面的3 倍, 那么各条线的电势用向量表示为: u=linspace(1,3,7)*u0。从- r0 到r0 取偶数个点, 例如100 个点, 使最中心点的坐标绕过0, 各点的坐标可用向量表示: x=linspace(- r0,r0,100), 在直角坐标系中可形成网格坐标: [X,Y]=meshgrid(x)。各点到原点的距离为: r=sqrt(X.^2+Y.^2), 在乘方时, 乘方号前面要加点, 表示对变量中的元素进行乘方计算。各点的电势为U=k8q. /r, 在进行除法运算时, 除号前面也要加点, 同样表示对变量中的元素进行除法运算。用等高线命令即可画出等势线 contour(X,Y,U,u), 在画等势线后一般会把电力线擦除, 在画等势线之前插入如下命令hold on 就行了。平面电力线和等势线如图1, 其中插入了标题等等。越靠近点电荷的中心, 电势越高, 电场强度越大, 电力线和等势线也越密。

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

相关主题