搜档网
当前位置:搜档网 › 【VIP专享】Verilog-篮球30秒可控计时器设计

【VIP专享】Verilog-篮球30秒可控计时器设计

【VIP专享】Verilog-篮球30秒可控计时器设计
【VIP专享】Verilog-篮球30秒可控计时器设计

湖北师范学院教育信息与技术学院2010级信息工程专业综合课程设计(一)

Verilog课程设计

Verilog curriculum design

所在院系

专业名称

班级

题目篮球30秒可控计时器设计

指导教师

成员

完成时间

一、设计任务及要求:

设计任务:

篮球30秒可控计时器设计。

要求:

(1)具有30秒计时、显示功能.

(2)设置外部操作开关,控制计时器的直接清零、装数、启动和暂停连续功能.

(3)30s倒计时,其时间间隔为1s.

(4)计时器递减计时到零时显示器不能灭灯,同时发出报警信号.

(5)能解除报警信号.

(6)利用modelsim、quartus ii 仿真综合.

指导教师签名:

2013年1月5日

二、指导教师评语:

指导教师签名:

2013年1月5 日

三、成绩

验收盖章

2013年1月6 日

基于Verilog的篮球30秒可控计时器设计

1 设计目的

随着生活水平的提高,人们对于生活品质的高要求。对于时间的准确越来越

发期盼。比如在田径,足球等体育运动中时间的分秒必争显的更加的不可或缺。

在这样的大背景下,计时器也应运而生。特别在于篮球比赛中通常是零点几秒可以决定各方的悲喜。

人类最早使用的定时工具是沙漏或水漏,但在钟表诞生发展成熟之后,人们开始尝试使用这种全新的计时工具来改进定时器,达到准确控制时间的目的。在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。

2 设计要求

(1)具有30秒计时、显示功能.

(2)设置外部操作开关,控制计时器的直接清零、装数、启动和暂停连续功能.

(3)30s倒计时,其时间间隔为1s.

(4)计时器递减计时到零时显示器不能灭灯,同时发出报警信号.

(5)能解除报警信号

(6)利用modelsim仿真

(7)完成设计报告

3 设计思路及原理

原理图如下图所示。该图包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分。其中,计数器和控制电路是系统的主要部分。计数器完成30秒计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连接计数、译码显示电路的显示和灭灯等功能。

外部操

作开关

图1:30s计时器的总体参考方案框图

对应的模块状态对应的信号倒计时对应情况控制电路1load=1、stop=0倒计时开始,每次减1s不报警、

不暂停

控制电路2load=0、stop=1倒计时暂停,保持当前

秒数不报警、

暂停

控制电路3load=0、stop=0倒计时继续,在前开下,不报警、

每次减1s不暂停译码显示4load=0、stop=0倒计时开始,每次减1s不报警

译码显示5load=0、stop=1倒计时暂停,保持当前

秒数不报警、

暂停

报警电路6load=0、alarm=

倒计时30s开始不报警报警电路7load=0、alarm

=1

倒计时为0,计时结束报警报警电路8load=1、alarm

=0

倒计时重新开始计时不报警

表一:篮球30s可控计时器示意图

4 设计过程

4.1 设计方案论证

方案一:通过对要求的分析,在译码显示时若采用静态显示时,需要对两个七段数码管的引脚进行设置,而不需要动态扫描。此时再进行倒计时时,显示部分的两个数码管同时点亮。注意此种方案所用的时钟信号为1HZ,若大于或

小于

1HZ,则会使时间间隔大于或小于1秒。

方案二:本方案采用动态扫描来进行译码显示,这种方法可以只对一个数码管的引脚进行设置,这样可以节省能源。此种方案需要用两个时钟信号,第一个时钟信号要为1HZ,和方案一相同。但第二个时钟要远远大于时钟一,因为

动态

扫描利用了人的视觉停留原理,从而造成两个一起亮的假象。

通过方案的对比,我选择了方案一进行设计,这里着重进行方案一的设计实现。

5 电路仿真与结果分析

5.1电路仿真

图2:篮球30s可控计时器开始计时

图3:篮球30s可控计时器报警

图4:篮球30s可控计时器自动解除报警

5.2结果分析

由仿真波形图可以看出,在图中30秒可控计时器能正常递减计数、当开关键使能(启动/暂停)S=0时能作保持(暂停)。在图中计时器在递减计数为0时便发出报警信号L=1。而后,由开关键输入清零低电平信号时,能直接致使减计时器复位并解除报警,实现系统的控制功能。仿真结果符合设计要求,达到预期效果。

6设计体会

1、设计过程中遇到的问题及解决方法

在进行源程序的仿真时,出现某一行的符号出现错误,仔细检查发现没有注意在对信号与变量赋值时,没有注意到赋值符号的不同,同时在引用单个数字与多个数字时所加的引号也不相同,因此引发一些错误。通过改变赋值符号,单引号,双引号等使程序得以更正。在进行引脚的锁定时,没有选择正确的方式,最终得到的是乱码,经过各种方式的分析、论证,选择了方式六,最终得以成功。当然在进行硬仿真时,所有的步骤都没有错误的情况下,依然没有预期的结果,通过对实验箱的熟悉,发现在显示方式的数码管旁边短路帽连接错误,应将短路帽置于上两位。

2、设计体会

在这两周的课程设计的实训中,在此次课程设计之前我对QUARTUS软件有很好的了解,在此次课程设计时我将梁老师上课的内容在复习理解,在老师的指导帮助下进一步了解了Verilog语音的语法还有编程时需要了解的问题,并完成了此次课程设计,在此表示衷心的感谢。

本次课程设计是将模拟电子技术基础和数字电子技术基础以及电工电子

技术的内容相结合,在此次设计的过程中发现了自己对理论知识认识的不足还有在动手操作方面还欠缺锻炼,因此我在此次课程设计的时候加深对老师所教的内容进一步复习,并且在实验箱操作的时候我就更加加强了对实践的重视。通过这次课程设计我还知道了在学习这条道路上我们不断要加强学习,还要有坚持不懈的学习精神。要将理论知识与实践相结合,要用理论指导实践,用实践来验证理论,让我们学于所用。

在此次课程设计中我还更加体会到团结的重要性,要有协助精神,这样我们才能事半功倍!但是由于时间关系,还是有很多做的不对的地方。比如说在调试的时候要考虑功能仿真和时序仿真,在功能仿真的时候我能得到正确的仿真结果,但是到了功能仿真的时候就要考虑到器件的延迟问题,但是我们此次所做的课程设计用的是比较小的器件,所以功能仿真就没有好多的延迟问题。我们要更好的考虑到延时问题,这样既会让所设计的器件的功能更加完善。

参考文献(至少5篇)

【1】《Verilog数字系统设计教程》(第3版)夏宇闻

【2】《复杂数字电路与系统的Verilog HDL设计技术》

【3】《西安电子科技大学学报》2004年01期栾玉霞,李存志

【4】《从算法设计到硬线逻辑的实现》

附件

完整源程序

数字系统设计与verilog HDL课程设计

数字系统设计与verilog HDL课程设计 设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号:031341025 姓名:杨存智 指导老师:黄双林

摘要 本课程设计利用QuartusII软件Verilog VHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能

目录 1.课程设计的目的及任务............................................................. 错误!未定义书签。 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (4) 2.课程设计思路及其原理 (4) 3.QuartusII软件的应用 (5) 3.1工程建立及存盘 (5) 3.2工程项目的编译 (5) 3.3时序仿真 (6) 4.分模块设计、调试、仿真与结果分析 (7) 4.1 clk50mto1时钟分频模块 (7) 4.2 adder加法器模块 (7) 4.3 hexcounter16 进制计数器模块 (7) 4.4 counter_time 计时模块 (8) 4.5 alarm闹铃模块 (8) 4.6 sound_ddd嘀嘀嘀闹铃声模块 (9) 4.7 sound_ddd_du嘀嘀嘀—嘟声音模块 (9) 4.8 alarm_time闹钟时间设定模块 (10) 4.9 bitsel将输出解码成时分秒选择模块 (10) 4.10 switch去抖模块 (11) 4.11 led译码显示模块 (11) 4.12 clock顶层模块 (12) 5.实验总结 (13) 5.1调试中遇到的问题及解决的方法 (13) 5.2实验中积累的经验 (14) 5.3心得体会 (14) 6.参考文献 (14) 1.1 课程设计的目的 通过课程设计的锻炼,要求学生掌握V erilog HDL语言的一般设计方法,掌握VerilogHDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

数字电路时钟设计verilog语言编写--

电子线路设计与测试 实验报告 一、实验名称 多功能数字钟设计 二、实验目的 1.掌握可编程逻辑器件的应用开发技术 ——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法;

4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计。 三、设计内容及要求 1.基本功能 具有“秒”、“分”、“时”计时功能,小时按24小时制计时。 具有校时功能,能对“分”和“小时”进行调整。 2.扩展功能 仿广播电台正点报时。在59分51秒、53秒、55秒、57秒发出低音512Hz 信号,在59分59秒时发出一次高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。 定时控制,其时间为23时58分。 3.选做内容 任意时刻闹钟(闹钟时间可设置)。 自动报整点时数。 四.系统框图与说明 数字钟框图

1.数字钟电路系统由主体电路和扩展电路两大部分所组成。 2.秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“24进制”规律计数。 3.计数器的输出经译码器送显示器。 五.设计步骤 1.列写多功能数字钟设计--层次结构图 2.拟定数字钟的组成框图,在Max+Plus II软件中,使用Verilog语言输入,采用分层次分模块的方法设计电路; 3.设计各单元电路并进行仿真; 4.对数字钟的整体逻辑电路图,选择器件,分配引脚,进行逻辑综合; 5.下载到Cyclone II FPGA实验平台上,实际测试数字钟的逻辑功能。

六.Verilog代码 //24进制时钟, 具有计时、校时、仿广播电台正点报时、固定时刻定时,任意时刻闹钟等功能 module clock_main(LED_Hour,LED_Minute,LED_Second,Alarm,CP_1KHz,Jsh_Min_key,Jsh_Hour_ke y,Set_Hour_key,Set_Min_key,Show,Ctrl_Bell); input CP_1KHz;//定义输入时钟 input Jsh_Min_key,Jsh_Hour_key;//定义校时按键 input Set_Hour_key,Set_Min_key;//定义闹钟定时按键 input Show; //定义显示模式按键 input Ctrl_Bell;//定义闹钟铃声控制 output [7:0]LED_Hour,LED_Minute,LED_Second;//定义输出变量 wire [7:0]LED_Hour,LED_Minute,LED_Second;//定义输出变量类型 wire [7:0]Hour,Minute,Second; wire [7:0]Set_Hour_Out,Set_Min_Out; wire Out_1Hz,Out_500Hz;//定义分频模块输出变量类型 reg Alarm_Ring,Alarm_Clock_1KHz;//定义仿广播电台报时和固定时刻定时铃声 output Alarm;//蜂鸣器输入 supply1Vdd; wire Alarm_Clock;//任意时刻闹钟闹铃 wire MinL_EN,MinH_EN,Hour_EN;//定义中间变量类型 //分频 fre_dividerFD0(Out_1Hz,Out_500Hz,Vdd,Vdd,CP_1KHz); //正常计时 counter10 U1(.Q(Second[3:0]),.nCR(Vdd),.EN(Vdd),.CP(Out_1Hz)); counter6 U2(.Q(Second[7:4]),.nCR(Vdd),.EN(Second[3:0]==4'h9),.CP(Out_1Hz)); assignMinL_EN=Jsh_Min_key?Vdd:(Second==8'h59); assign MinH_EN=(Jsh_Min_key&&(Minute[3:0]==4'h9))||(Minute[3:0]==4'h9)&&(Second==8'h59 ); counter10 U3(.Q(Minute[3:0]),.nCR(Vdd),.EN(MinL_EN),.CP(Out_1Hz)); counter6 U4(.Q(Minute[7:4]),.nCR(Vdd),.EN(MinH_EN),.CP(Out_1Hz)); assign Hour_EN=Jsh_Hour_key?Vdd:((Minute==8'h59)&&(Second==8'h59)); counter24 U5(Hour[7:4],Hour[3:0],Vdd,Hour_EN,Out_1Hz); //仿广播电台正点报时 baoshi BS1(Alarm_Ring,Minute,Second,Out_500Hz,CP_1KHz);//在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒时发出一次高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点 //固定时刻定时

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

#用Verilog语言编写的多功能数字钟

2009—2010学年第二学期 《数字电子技术课程设计》报告 专业班级:电气-08-1 姓名:曹操 学号:08051127 设计日期:2010年8月23日~27日 一.设计题目 多功能数字钟电路设计 二.设计任务及要求 多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。 在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD 码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz 时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz 信号,必须对输入的系统时钟50Mhz进行分频。 对于整点报时功能,本实验设计的是当进行正点的倒计时5秒时,让LED来闪烁进行整点报时的提示。 调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。 管脚分配如下表: 端口名使用模块信号对应FPGA管脚说明 S1 按键开关S1 R16 调整小时 S2 按键开关S2 P14 调整分钟 RST 按键开关S8 M15 复位 LED LED模块D1 L14 整点倒计时 LEDAG0 数码管模块A段N4 时间显示 LEDAG1 数码管模块B段G4 LEDAG2 数码管模块C段H4 LEDAG3 数码管模块D段L5 LEDAG4 数码管模块E段L4 LEDAG5 数码管模块F段K4 LEDAG6 数码管模块G段K5 SEL0 数码管选择位1 M4 8个数码管

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

基于VerilogHDL设计的数字时钟

深圳大学考试答题纸 (以论文、报告等形式考核专用) 二○18 ~二○19 学年度第一学期 课程编 1602080001 课程名称 号 学 姓名李思豪专业年级电子科学与技术16级1班号 题目:基于Verilog HDL设计的数字时钟 摘要:本文利用Verilog HDL语言自顶向下的设计方法设计多功能数字钟,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Altera QuartusⅡ 6.0和cyclnoe II EP2C35F672C6完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实际的数字钟显示中 关键词:Verilog HDL;硬件描述语言;FPGA

目录 一、实验任务 (3) 实验目的 (3) 实验要求 (3) 二、设计思路 (3) 三、实验结果 (10) 四、总结与收获 (14)

一、实验任务 实验目的 1.深入了解基于quartus ii工具的复杂时序逻辑电路的设计。 2.理解并熟练利用EDA工具进行综合设计。 3.熟练掌握芯片烧录的流程及步骤。 4.掌握Verilog HDL 语言的语法规范及时序电路描述方法。 实验要求 设计一个带秒表功能的24 小时数字钟,它包括以下几个组成部分: ①显示屏,由6 个七段数码管组成,用于显示当前时间(时:分,秒)或设置的秒表时间; ②复位键复位所有显示和计数 ③设置键,用于确定新的时间设置,三个消抖按键分别用于时分秒的设置 ④秒表键,用于切换成秒表功能 基本要求 (1) 计时功能:这是本计时器设计的基本功能,每隔一秒计时一次,并在显示屏上显示当前时间。 (2) 秒表功能:设置时间,进行倒计时功能 (3) 设置新的计时器时间:按下设置键后,用户能通过时分秒三个消抖按键对时间进行设置。 二、设计思路 1、总原理框图:

课程设计报告-篮球30秒倒数计时器

课程设计报告-篮球30秒倒数计时器

信电学院 课程设计说明书(2011 /2012学年第二学期) 课程名称:电子技术课程设计 题目:篮球30秒倒数计时器 专业班级:自动化3班 学生姓名:程江峰 学号:100410317 指导教师:马志钢 设计周数:两周 课设成绩: 2012年7月5日

目录 一、课程设计摘要-------------------------------------------- 二、课程设计正文 1、课程设计任务与要求 2、方案设计(系统控制电路框图及说明) 3、元器件详细介绍 4、系统原理图、印制板图及其说明 5、安装、调试及性能测试与分析 6、课程设计总结及心得 三、课程设计总结 四、附录(PCB图)

五、参考文献 一、课程设计摘要 通过电子技术课程设计的综合训练,培养独立思考、分析问题、解决问题的能力,培养工程实践能力、创新能力和综合设计能力。根据所学模拟电子技术、数字系统与逻辑设计的理论,对模拟电子线路、数字电子线路以及模拟与数字综合电子线路进行设计、安装与调试。 定时电路是数字系统中的基本单元电路,它主要由计数器和振荡器组成。定时电路主要利用分立元件,中规模集成器件555定时器。用555定时器实现的定时电路主要应用单稳态触发器原理,实现定时器的功能。在实际工作中,定时器的应用场合很多,例如,篮球比赛规则中,队员持球时间不能超过30秒,就是定时电路的一种具体应用。 篮球竞赛30秒定时器电路主要利用555定时器产生时钟脉冲,触发计数器进行从30至00倒计数,并将计数结果通过译码电路和数码管显示,当计数器减至00时,报警电路进行报警。 二、课程设计正文 1、课程设计任务与要求30秒计时功能,两位数字显示,计时间隔为1秒。完成硬件制作实现30秒减计数,每次减计时结束后,蜂鸣器报警提示,数码管显示00;电路需设置外部开关,可使定时器直接复位,并具有启动计时、暂停/连续计时功

基于verilog数字秒表的设计实现--生产实习报告

生产实习报告 班级:通信13-2班 姓名:闫振宇 学号:1306030222 成绩: 电子与信息工程学院 信息与通信工程系

基于verilog 数字秒表的设计实现 1. 概述 硬件描述语言HDL ( HardwareDescription Langyage) 是一种用形式化方法来描述数字电路和系统的语言。数字电路系统的设计这里用这种语言可以从上层倒下层逐层描述自设计思想用一系列分层的模块来表示极其复杂的数字系统,然后用EDA 工具逐层验证,把其中需要为具体物理电路的模块组合由自动综合工具转换到门级电路网表。Verilog HDL 是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。使用VERILOG 进行系统设计时采用的是从顶至下的设计,自顶向下的设计是从系统机开始巴西同划分为若干个基本单元,然后再把每个单元划分为下一层的基本单元,这样下去直到可以直接用EDA 元件库中的基本元件来实现为止。 2. 设计目的及要求 a. 有源晶振频率:50MHZ ; b. 测试计时范围:00' 00” 00 ~ 59 ',59显”示9的9 最长时间为59 分59 秒; c. 数字秒表的计时精度是10ms; d. 显示工作方式:六位BCD 七段数码管显示读数,两个按钮开关(一个按钮使秒表复位,另一个按钮控制秒表的启动/暂停)。 3. 设计原理秒表的逻辑结构较简单,它主要由四进制计数器、十六进制计数器、分频器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ 计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止、启动以及清零复位。 秒表有共有6个输出显示,其中6个显示输出数据,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有 6 个计数器与之相对应; 6 个计数器的输出全都为BCD 码输出,这样便与同显示译码器连接。 利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功能。所有数字逻辑功能都在CPLD 器件上用Verilog 语言实现。这样设计具有体积小,设计周期短,调试方 便,故障率地和修改升级容易等特点。 本设计采用依次采用以下设计方法: 1)按键输入缓存,键盘消抖设计;

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

多功能数字钟设计Verilog语言编写

多功能数字钟设计 院系:电光学院 班级:*** 学号: *** 姓名: *** 指导老师:*** 时间:2010.4.20.

摘要:利用QuartusII软件设计一个数字钟,利用模块化的程序设计思想,核心 模块均采用Verilog语言编写(译码显示模块采用原理图设计),软件仿真调试编译成功后,再下载到SmartSOPC实验系统中。经过硬件测试,查找软件设计缺陷,并进一步完善软件,最终设计得到较为满意的多功能数字钟。 关键词:QuartusII; 多功能数字钟; 模块化; Verilog; 可编程; Abstract:Using the QuartusII software design a digital bell with the blocking method.The design takes theory drawing instead of Verilog language.After emluating and debuging successfully,translate and edit the code.Then,download the result to the programmable SmartSOPC system and test it in hardware.Realizing the soul of designing hardware by software. Keywords:QuartusII; digital bell; blocking method; VHDL; programmable; hardware 目录 设计内容简介 (3) 设计要求说明 (3) 方案论证(整体电路设计原理) (3) 各子模块设计理 (5) 分频模块: (5) 计数模块: (7) --校准模块程序实现: (8) --秒计数模块程序实现: (9) --分计数模块程序实现: (10) --时计数模块程序实现: (10) 整点报时模块: (12) 闹钟设定模块: (13) --闹钟调节模块程序实现: (14) --输出信号选择模块程序实现: (14) 彩铃模块: (15) 译码显示模块: (18) 万年历模块: (19) --日计数模块程序设计: (20) --月计数模块程序设计: (23) --年计数模块程序设计: (23) --万年历波形仿真结果: (25) 结论: (26) 实验感想: (26) 附图: (27)

电子线路课程设计—篮球竞赛30秒计时器汇总

目录 1 设计课题任务、功能要求说明及总体方案介绍 (1) 1.1 设计课题任务 (1) 1.2 功能要求说明 (1) 1.3 总体方案介绍 (1) 2 单元电路的设计 (2) 2.1 秒脉冲发生器 (2) 2.2 计数器 (4) 2.3 译码显示 (4) 2.4 控制电路 (5) 2.5 报警电路 (5) 2.6 电路原理图和PCB图 (5) 3 芯片引脚及功能说明 (6) 3.1 555定时器 (6) 3.2 74LS161 (7) 3.3 74LS192 (7) 3.4 74LS48 (8) 4 仿真结果及分析 (10) 4.1 仿真总原理图 (10) 4.2 仿真结果 (11) 小结 (12) 元件清单 (13) 参考文献 (14) 附录 (15) 附录A:电路原理图 (15) 附录B:电路PCB图 (16)

1 设计课题任务、功能要求说明及总体方案介绍 1.1 设计课题任务 设计并制作一个篮球竞赛计时器 1.2 功能要求说明 1.准确计时,具有显示30秒计时功能; 2.设置外部操作开关,控制计时器的直接清零、启动和暂停、连续功能; 3.计时器为30s递减计时器,其计时间隔为1s; 4.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 1.3 总体方案介绍 篮球竞赛30秒计时器的总体方案框图如图1.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路时系统的主要模块。计数器完成30秒技术功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示、定时完成报警等功能。 图1.1 30秒计时器的总体方案框图

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

eda,verilog数字钟设计报告

数字钟 一、任务解析 用Verilog硬件描述语言设计数字钟,实现: 1、具有时、分、秒计数显示功能,以二十四小时循环计时。 2、具有调节小时,分钟的功能,调整时对应的数字闪烁。 3、具有整点报时及闹铃时间可调的功能。 4、数字钟具有四种模式:正常显示、时间调整、闹铃时间调整、秒表。 二、方案论证 没有闹铃功能 三、重难点解析 选择模式:module beii(clr,selin_key,beii_out); input clr,selin_key; output [1:0]beii_out; wire [1:0]beii_out; reg [1:0]selout_key; always@(negedge clr or posedge selin_key) begin if(!clr) selout_key=0; else begin if(selout_key==2) selout_key=0; else selout_key=selout_key+1;end end assign beii_out=selout_key; endmodule

头文件中: module clk_top(clr,clk,upkey,downkey,sel,a,b,c,d,e,f,g,p,clr_key,selin_key); clr:清零clk:50M时钟 upkey:向上调downkey:向下调 clr_key:恢复初始状态selin_key:模式选择 四、硬件资源分配 60进制module mycnt60(clr,clk,upkey,downkey,selout,q,c); input clk,clr,upkey,downkey;//upkey为加按键 input [1:0] selout; output[7:0] q;//60进制输出 output c;//进位溢出位 reg c; reg[7:0] q; wire new_clk1,ckb,ckc,ckd,cko; assign new_clk1=clk|((!selout[0]&selout[1])&(upkey|downkey)); LCELL AA(new_clk1,ckb);//信号延迟 LCELL BB(ckb,ckc); LCELL CC(ckc,ckd); LCELL DD(ckd,cko); initial c=0; always @(posedge cko or negedge clr )begin if(!clr) q=8'h00; else begin if(selout==2) begin if(upkey)begin if(q==8'h59) q=8'h00; else if(q==8'h?9) q=q+4'h7; else q=q+1; end else if(downkey)begin if(q==8'h00) q=8'h59; else if(q==8'h?0) q=q-4'h7; else q=q-1; end

相关主题