搜档网
当前位置:搜档网 › 数电课设——数字式电子锁

数电课设——数字式电子锁

数电课设——数字式电子锁
数电课设——数字式电子锁

课程设计任务书

学生姓名:孙亚楠专业班级:通信1302班

指导教师:付琴工作单位:信息工程学院

题目: 数字式电子锁的设计与实现

初始条件:

本设计既可以使用集成电路和必要的元器件等,也可以使用单

片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成

原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、

弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开

锁。反之则不开锁。

图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求)

1、课程设计工作量:1周。

2、技术要求:

1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。

2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。

3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒)

4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

序号阶段内容所需时间

1 方案选择和电路设计1天

2 硬件设计、软件仿真2天

3 系统优化2天

4 设计报告撰写1天

5 答辩1天

合计7天

指导教师签名:年月日

目录

摘要 (Ⅰ)

Abstract (Ⅱ)

1.设计目的及要求 (1)

1.1设计目的 (1)

1.2设计要求 (1)

2.电路的设计与选择 (2)

2.1电路组成 (2)

2.2方案选择 (2)

2.2.1方案一电路及原理 (2)

2.2.2方案二电路及原理 (3)

2.2.3方案三电路及原理 (3)

2.2.4方案比较与确定 (4)

3.电路原理 (5)

3.1 开锁输入电路 (5)

3.2 电路设定与比较电路 (6)

3.3 计数电路 (8)

3.4 计时电路 (10)

3.5 报警电路 (11)

3.6 实验参数计算 (11)

3.7 总电路及其工作原理 (11)

4.仿真结果及实物图 (13)

4.1电路仿真结果 (13)

4.2焊接实物图 (17)

5.实验设计总结与感悟 (19)

6.元器件清单 (20)

摘要

现代电子技术的快速发展,使得数字电子技术广泛地应用在自动化领域中。在生活或工业领域中,电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。数字电子技术课程设计对所学的基础理论知识是一次实践检测的过程。本文的电子密码锁是利用集成数字芯片和数字逻辑电路,实现对门的电路控制,并且有各种附加电路保证电路能够安全工作,具有极高的安全系数。该系统主要由密码存储电路,比较电路,开锁输入电路,报警电路,锁体组成。密码存储电路与比较电路主要由开关和集成芯片74LS85构成,开锁输入主要由74LS194移位寄存器及相应开关构成,报警电路主要由555芯片、74LS90、报警灯和蜂鸣器构成,其中555产生1HZ周期脉冲,74LS90实现计数和计时。电路中还包括一些逻辑门电路,以实现相应的逻辑功能。

关键词:数字密码锁,密码存储电路,比较电路,报警电路,开锁输入电路

Abstract

With the rapid development of modern electronic technology, digital electronic technology is widely used in the field of automation. In life or in the field of industry, electronic password lock is a https://www.sodocs.net/doc/a115135362.html,/translate### had to enter a password to control circuit or chips work, so as to control the mechanical switch is closed, the lock, closed the task of electronic products. It's a lot of variety, there are simple circuit products, but also based on the higher cost of chip products. The course design of digital electronic technology is a process of practice detection. In this paper, the electronic code lock is using digital integrated chip and digital logic circuit, control circuit for the door, and a variety of additional circuitry to ensure the safety and has high safety coefficient. The system is mainly composed of a password storage circuit, a comparison circuit, an unlocking input circuit, an alarm circuit, and a lock body. Password storage circuit and a comparison circuit consists mainly of by the switch and the integrated chip 74LS85, enter the unlock mainly by 74ls194 shift register and the corresponding switch constitute, alarm circuit is mainly composed of 555 chip and 74ls90, alarm lamp and a buzzer constitute, 555 of them produce periodic pulse 1Hz, 74ls90 achieve counting and timing. Some logic gates are also included in the circuit to achieve the corresponding logic functions.

Key words: digital password lock, password storage circuit, comparison circuit, alarm circuit, unlock input circuit

1.设计内容及要求

1.1设计目的

设计一个具有报警功能的四位数字式电子锁

1.2设计要求

(1)锁体用LED代替,“绿灯亮”表示开锁,“红灯亮”表示闭锁。

(2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。

(3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。

(报警动作为响1分钟,停10秒)

(4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。

2.电路的设计与选择

2.1电路组成

数字式电子锁的电路主要由密码存储电路,比较电路,开锁输入电路,报警电路,锁体组成。电路的原理框图如图2.1所示。

图2.1 数字式电子锁原理框图

2.2 方案选择

2.2.1 方案一电路及原理

由单片机STC89C52作为核心元件的数字式电子锁,分为硬件和软件部分。在硬件部分中,由单片机最小系统、矩阵键盘、1602液晶显示、声光显示这几个部分,在软件部分中,由矩阵键盘键值读取、1602液晶显示函数、延时模块、密码读取模块、密码设置模块、密码比较模块、声光状态模块等。其电路图如图2.2.1所示。

2.2.2方案二电路及原理

由移位寄存器构成密码输入电路,由开关与集成数值比较器构成密码比较与存储电路,集成芯片计数器 74LS90计数器记录输入错误错误的次数,并由555芯片组成电路及

74LS90芯片构成报警60秒停10秒的报警电路,红灯亮表示闭锁,绿灯亮表示开锁,蜂鸣器响表示输错三次报警,再加反馈回路实现对前面电路的控制。电路图如图2.2.2所示。

图2.2-2 方案二总电路图

2.2.3 方案三电路及原理

由移位寄存器构成密码输入电路,有开关与非门构成密码比较与存储电路,四个

74LS192计数器分别构成由输入三次错误,报警60秒停10秒连续三次的报警电路,灯泡亮表示报警,报警再加反馈回路实现对前面电路的控制。电路图如图2.2.3所示。

图2.2-3 方案三总电路图

2.2.4 方案比较与确定

通过以上的原理说明可知,方案一中,由单片机STC89C52作为核心元件的数字式电子锁,实现了基本的密码锁功能,运用具有可以写入程序、按程序完成相关逻辑判断和电平控制的单片机芯片可以大大降低系统的复杂度,但是方案一更侧重于程序设计,而本次课程设计是数字电子电路的设计,故方案一不合适。方案三中,运用了数字芯片和逻辑门电路实现电子锁功能,但电路较不成熟,且不如方案二简洁清楚,故方案三也不合适。方案二中,利用集成数字芯片和逻辑门电路实现密码锁的功能,原理清楚,比较简洁明了,适合本次课程设计。

综上所述,选择方案二作为本次课程设计的实验方案。

3.电路原理

3.1 开锁输入电路

开锁密码输入电路主要由移位寄存器74LS194及开关构成,74LS194是四位双向通用移位寄存器。功能包括串行输入和并行输出,有时钟脉冲信号触发。由功能表可知,当

S1=0,S0=1时,芯片处于右移串行输入,当CP端经过四个上升沿脉冲时,QA-QD并行输出四位密码,相当于密码输入。

图3.1-1 74LS194引脚图

图3.1-2 74LS194功能表

开锁输入电路图如图3.1-3所示,其中S8为输入清零开关,S5作为密码输入端,S6充当脉冲信号使用。S5每输入一位密码,S6就要闭合断开一次。

图3.1-3 开锁输入电路图

3.2 密码设定与比较电路

设计要求规定密码为四位二进制数,所以密码设定电路主要由四个单刀双掷开关构成,由开关拨向组成不同的密码组合,设定之后不更改状态即是对密码的储存,且密码可以在0000-1111之间任意设定,通过设定的密码可以与输入的密码进行比较。

密码比较电路主要是集成芯片74LS85构成,集成数值比较器74LS85是4位数值比较器。在数字电路中,经常需要对两个位数相同的二进制数进行比较,以判断它们的相对大小或者是否相等,用来实现这一功能的逻辑电路就成为数值比较器。数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。比较结果有A>B、A

图3.2-1 74LS85引脚图

图3.2-2 74LS85功能表

密码设定与比较电路如图3.2-3所示。S1,S2,S3,S4组成密码设定电路,74LS85为密码比较电路的核心元件。当输入密码预设定密码一致时,绿灯亮,否则红灯亮。

图3.2-3 密码设定与比较电路

3.3计数电路

设计要求当输入错误达到三次后电路报警并实现自锁,故需要计数错误次数。计数电路主要是由集成芯片74LS290构成,74LS90计数器是一种中规模二-五-十进制计数器,通过不同的连接方法,其还可构成其他进制的计数器。

图3.3-1 74LS290引脚图

图3.3-2 74LS290功能表计数电路如图3.3-3所示,S10为强制清零开关。

图3.3-3 计数电路

设计要求当电路发生警报时报警动作为响1分钟、停10秒,所以需要设计计时电路,用于控制报警时间。计时电路主要由555芯片和74LS290组成。

3.4-1 555芯片引脚图

计时电路如图3.4-2所示。555芯片构成多谐振荡器产生1HZ的脉冲,给74LS290提供脉冲信号。U5为十进制计数器,U6为六进制计数器,两者构成六十进制计数器。

3.4-2 计时电路

蜂鸣器由三极管驱动,在计数电路和计时电路的控制下工作。

3.5 报警电路

3.6 实验参数计算

555芯片构成的多谐振荡器的震荡周期为T=T PH+T PL

T PH=0.7(R1+R2)C T PL=0.7R2C

所以震荡周期为T=T PH+T PL=0.7(R1+2R2)C

振荡频率 f =1/T

要产生1HZ的脉冲信号,所以0.7(R1+2R2)C = 1

由此计算出R1= 2.8K? ,R2=5.7K? ,C=10μF

3.7 总电路及其工作原理

总电路由开锁输入电路,密码设定与比较电路,计数电路,计时电路和报警电路组成,总电路图如图3.7所示。

由开关S5输入开锁密码,移位寄存器74LS194将输入密码并行输出,数值比较器74LS85将输入密码与设定密码进行比较,若输入密码与设定密码相同,绿灯亮,若输入密码与设定密码不同,或门U1输出为1,按下按钮S7,则计数器74LS290(U4)进行一次计数。若在3次以内输入正确,则或门U9输出为1,计数器U4清零;若3次输入都不正确,与非门U7输出为1,蜂鸣器发出警报,且或非门U10输出为0,移位寄存器74LS194锁住,不能再进行密码输入。555芯片构成多谐振荡器,产生频率为1HZ的脉冲信号,为U5和U6构成的六十进制计数器提供脉冲信号。当U6计数到0101即“5”时,与非门U8输出为0,蜂鸣器不再工作,由此产生报警一分钟停顿10秒的报警动作。

开关S1,S2,S3,S4用于设定密码,S6用于提供74LS194的脉冲信号,S8用于对输入密码进行清零。

图3.7 总电路图

4.仿真结果及实物图4.1 电路仿真结果

密码输入正确时的仿真结果

图4.1-1 密码输入正确时仿真结果

密码输入错误时的仿真结果

图4.1-2 密码输入错误时仿真结果

密码输入错误达到三次时的仿真结果

图4.1-3 密码输入错误三次时仿真结果

当密码输入错误三次后,555震荡波形及蜂鸣器端的波形如下

图4.1-4 多谐振荡器脉冲信号和蜂鸣器两端波形

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

数字电子技术课程设计

实验十六简易脉宽测量电路 脉宽测量设计用来测量一个PWM波的高电平持续时间进而可以实现信号的占空比测量。一.设计要求 用常用数字电路IC设计一个脉宽测量,主要技术指标如下: 1)能显示三位计数值,时间单位为毫秒。 1)被测量脉冲的频率范围1H Z~100H Z,10KH Z的时间基准信号由信号发生器提供。 2)能测量信号的高低电平宽度,实现占空比测量。 3)写出设计过程,画出逻辑图。 二.要求完成的任务 1)利用软件(如modelsin)进行设计输入,设计仿真,使其具备设计要求的逻辑功能。 2)根据前期的设计搭建数字电路,调试系统。 3)画出完整的电路图,写出设计总结报告 4)基准频率由晶振电路分频产生,存储前后两次的测量数据,实现占空比测量。三.工作原理及设计思路 10KH Z的矩形脉冲信号由信号发生器提供,它和分频器组成一个时间标准信号电路,用以产生1ms的计时时间。通过计数器,译码器和显示器显示出被测脉冲的宽度。 被测量信号Fx为周期性矩形脉冲。在测量控制信号启动后,控制器使控制门只能让被测信号Fx的第一个正脉冲通过,从而测出脉冲宽度Tw的时间,因此,控制器应由触发器和门电路组成。 四.设计框图 总体框图如下: 五.参考原理图

以下是实现的一种参考方案: 六. 电路原理 其中被测信号Fx 为100H Z ~1KH Z 信号源,我们的主控门为U4,我们的标准信号源频率为1KH Z ~10KH Z 信号源,U3输出为我们要测量的信号高电平持续时间。即当U3输出为1时,我们对标准信号源进行记数。 我们的控制门为U2和U3,U3输出被测量信号的一个高电平脉宽, U2A 和U2B 分别为被测信号源上升沿触发(置1)和下降沿触发(置0)。 U1A 的1Q 输出为预置信号,为0时有效,开始启动时,K 置0,使U2A 置0,U2B 置1。然后,K 置1,计数开始。这时如果被测信号上升沿到来,U2A 置1开始打开主控制门开始计数,紧接着的下降沿使U2B 置0,从而关掉控制门,计数完毕。但是由于启动时要求上升沿先到达以达到正确记数,所以要外加电路使电路在第一个下降沿之后开始工作,也就是U1A 的作用,读者可以自行分析。接下来就只要扩展为1000进制计数器了。 七.所用的元器件:双D 触发器74LS74×2,与非门74LS00×2,计数器74LS160×2,七段共阴数码管2个,开关1个。 八.思考扩展模块: (1).如何实现测量信号的占空比。 (2).提高测量的精度,进行误差分析。 ﹠ 1D 1CP 1S D 1Q 1R D 1D 1S D 1CP 1Q 1R D 2S D 2Q 2CP 2 R D 2D ﹠ 1 ﹠ 1 QD QC QB QA 74LS160 ENP LOAD ENT CLK CLR QD QC QB QA ENT CO ENP 74LS160 LOAD CLK CLR “1” “1” Vcc Vcc Vcc 接译码器 Fx 标准信号 U1 U2 U3 U4 U5 U1A U2A U2B K

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于 Q U A R T U S HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键 清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。

进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。 从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

数字电子技术课程设计-题目

数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工邮箱baojinghaiqq.。 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; ⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

数电设计数字钟基于QUARTUS

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。

从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

数字电子技术课程设计-题目

¥ 数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工。 ; 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; *

⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 74LS175、74LS20、74LS00、CC40192、CC4511、CC40106、555、数码管、蜂鸣器 2.多功能数字钟的设计 、 (1)基本功能 ①用七段LED数码管以十进制显示“时”、“分”、“秒”; ②计时计数器用24进制计时电路; ③可手动校时,能分别进行时、分的校正; ④整点报时。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 》 (3)主要器件 CC4013、CC4060、74LS90、CC4511、CC4068、数码管 3.3位半直流数字电压表的设计 (1)基本功能 ①量程:一档:+~0~- 二档: +~0~- ②用七段LED数码管显示读数,做到显示稳定、不跳变; 《 ③保持/测量开关:能保持某一时刻的读数; ④指示值与标准电压表示值误差最低位在5之内。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 CC14433、MC1413、MC1403、CC4511、七段LED数码管器

#用Verilog语言编写的多功能数字钟

2009—2010学年第二学期 《数字电子技术课程设计》报告 专业班级:电气-08-1 姓名:曹操 学号:08051127 设计日期:2010年8月23日~27日 一.设计题目 多功能数字钟电路设计 二.设计任务及要求 多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。 在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD 码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz 时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz 信号,必须对输入的系统时钟50Mhz进行分频。 对于整点报时功能,本实验设计的是当进行正点的倒计时5秒时,让LED来闪烁进行整点报时的提示。 调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。 管脚分配如下表: 端口名使用模块信号对应FPGA管脚说明 S1 按键开关S1 R16 调整小时 S2 按键开关S2 P14 调整分钟 RST 按键开关S8 M15 复位 LED LED模块D1 L14 整点倒计时 LEDAG0 数码管模块A段N4 时间显示 LEDAG1 数码管模块B段G4 LEDAG2 数码管模块C段H4 LEDAG3 数码管模块D段L5 LEDAG4 数码管模块E段L4 LEDAG5 数码管模块F段K4 LEDAG6 数码管模块G段K5 SEL0 数码管选择位1 M4 8个数码管

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

相关主题