搜档网
当前位置:搜档网 › 半导体名词解释

半导体名词解释

半导体名词解释
半导体名词解释

1)Acetone 丙酮

丙酮是有机溶剂的一种,分子式为CH3COCH3

性质:无色,具剌激性薄荷臭味的液体

用途:在FAB内的用途,主要在于黄光室内正光阻的清洗、擦拭

毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量的丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、恶心、呕吐、目眩、意

识不明等。

允许浓度:1000ppm

2)Active Area 主动区域

MOS核心区域,即源,汲,闸极区域

3)AEI蚀刻后检查

(1)AEI 即After Etching Inspection,在蚀刻制程光阻去除前和光阻去除后,分别对产品实施主检或抽样检查。

(2)AEI的目的有四:

提高产品良率,避免不良品外流。

达到品质的一致性和制程的重复性。

显示制程能力的指标。

防止异常扩大,节省成本

(3)通常AEI检查出来的不良品,非必要时很少做修改。因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低的缺点。4)A l-Cu-Si 铝硅铜

金属溅镀时所使用的原料名称,通常是称为Target,其成份为0.5%铜,1%硅及98.5%铝,一般制程通常是使用99%铝1%硅.后来为了金属电荷迁移现象(Electromigration) 故渗加0.5%铜降低金属电荷迁移

5)A lkaline Ions 碱金属雕子

如Na+,K+,破坏氧化层完整性,增加漏电密度,减小少子寿命,引起移动电荷,影响器件稳定性。其主要来源是:炉管的石英材料,制程气体及光阻等不纯物。

6)A lloy 合金

半导体制程在蚀刻出金属连线后,必须加强Al与SiO2间interface的紧密度,故进行Alloy步骤,以450℃作用30min,增加Al与Si的紧密程度,防止Al层的剥落及减少欧姆接触的电阻值,使R C 的值尽量减少。

7)A luminum 铝

一种金属元素,质地坚韧而轻,有延展性,容易导电。普遍用于半导体器件间的金属连线,但因其易引起spike及Electromigration,故实际中会在其中加入适量的Cu或Si

8)A nneal 回火

又称退火:也叫热处理,集成电路工艺中所有的在氮气等不活泼气氛中进行的热处理过程都可以称为退火。

a)激活杂质:使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载

流子,起到杂质的作用。

b)消除损伤:离子植入后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁

区(进入底材中的离子行进中将硅原子撞离原来的晶格位置,致使晶体的特性改变)。

而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、

时间差异来控制全部或局部的活化植入离子的功能

c)氧化制程中的回火主要是为了降低界面态电荷,降低SiO2的晶格结构

退火方式:

炉退火

快速退火:脉冲激光法、扫描电子束、连续波激光、非相干宽带频光源(如卤光灯、电

弧灯、石墨加热器、红外设备等)

9)Angstrom 埃(?)

是一个长度单位,1?=10-10米,其大小为1公尺的佰亿分之一,约人的头发宽度的伍拾万分之一。

此单位常用于IC制程上,表示膜层(如SiO2,POL Y,SIN‥)厚度时用

10)Argon 氩气

11)Arc Chamber 弧光反应室

弧光反应室,事实上就是一个直流式的电浆产生器。因为所操作的电流-对-电压的区域是在弧光电浆内。

12)APM( Ammonia , hydrogen-Peroxide Mixing )

又称SC-1 ( Standard Cleaning solution - 1 )主要化学试剂是NH4OH/H2O2/D.I .water,常用比率为1:1:6。能有效去处除无机颗粒,有机沉淀及若干金属玷污,去除颗粒能力随NH4OH增加而增加。

13)Backing Pump 辅抽泵

在高真空系统中,要想很快建立我们所需的高真空,单纯靠高真空泵是不行的(因高真空泵启动时系统必须已经在低真空条件下),所以我们在系统中加入一个辅抽泵(如油泵),先对系统建立初真空,再由高真空泵对系统建立高真空。

14)Bake, Soft bake, Hard bake烘培、软烤、预烤

烘烤(Bake):在集成电路芯片的制造过程中,将芯片置于稍高温(60oC~250oC)的烘箱或热板上均可谓之烘烤。随其目的不同,可区分为软烤(Soft bake)与预烤(Hard bake)。

软烤(Soft bake) :其使用时机是在上完光阻后,主要目的是为了将光阻中的溶剂蒸发去除,并且可增加光阻与芯片的附着力。

预烤(Hard bake):又称为蚀刻前烘烤(pre-etch bake),主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不完全常会造成过蚀刻。

15)Barrier Layer 阻障层

为了防止铝合金与硅的的接触界面发生尖峰(spiking)现象,并降低彼此的接触电阻,在铝合金与硅之间加入一层称为阻障层的导体材料,常见的有Ti/TiN及TiW。

16)BB :Bird's Beak 鸟嘴

在用Si3N4作为掩膜制作field oxide时,在Si3N4覆盖区的边缘,由于氧或水气会透过Pad Oxide Layer扩散至Si-Substrate表面而形成SiO2,因此Si3N4边缘向内会产生一个鸟嘴状的氧化层,

即所谓的Bird's Beak。其大小与坡度可由改变Si3N4与Pad Oxide的厚度比及Field Oxidation的温度与厚度来控制

17)Boat 晶舟

Boat原意是单木舟。在半导体IC制造过程中,常需要用一种工具作芯片传送及加工,这种承载芯片的工具,我们称之为Boat。一般Boat有两种材质,一是石英(Quartz),另一碳化硅(SiC)。SiC Boat用在温度较高(Drive in)及LPSiN的场合。

SiC Boat

Quartz Boat

18)BOE(Buffer Oxide Etching)

B. O. E.是HF与NH4F依不同比例混合而成。6:1 BOE蚀刻即表示HF: NH4F =l:6的成份混

合而成。HF为主要的蚀刻液,NH4F则做为缓冲剂使用。利用NH4F固定[H']的浓度,使之保持一定的蚀刻率。

HF会侵蚀玻璃及任何硅石的物质,对皮肤有强烈的腐蚀性,不小心被溅到,应用大量冲洗。19)Boundary Layer 边界层

假设流体在芯片表面流速为零,则流体在层流区及芯片表面将有一个流速梯度存在,称为边界层(Boundary Layer)

20)BPSG(boron-phosphor-silicate-glass)

BPSG : 为硼磷硅玻璃,含有B,P元素的SiO2 , 加入B,P可以降低Flow 温度,并且P吸附一些杂质离子,流动性比较好,作为ILD的平坦化介质。

21)Breakdown Voltage 崩溃电压

左图是一个典型PN二极管的电流对电压曲线,因

为只有在加正向电压时才导通,但假若施加的反向

电压太高且超过一特定临界值时,反向电流将急剧

上升,这个现象称为电崩溃。而使崩溃现象发生的

临界电压称为崩溃电压,如图中的V BD

22)Buffer Layer 缓冲层

通常此层沉积于两个热膨胀系数相差较大的两层之间,缓冲两者因直接接触而产生的应力作用。我们制程最常见的缓冲层即SiO2,它用来缓冲SiN4与Si直接接触产生的应力,从而提升Si3N4对Si表面附着能力

23)C1 clean

Clean的一种制程,它包括DHF(稀释HF)---APM(NH4OH-H2O2-H2O mixed)---HPM (HCl-H2O2-H2O mixed)

24)Burn in预烧试验

「预烧」(Burn in)为可靠性测试的一种,旨在检验出那些在使用初期即损坏的产品,而在出货前予以剔除。

预烧试验的作法,乃是将组件(产品)置于高温的环境下,加上指定的正向或反向的直流电压,如此残留在晶粒上氧化层与金属层的外来杂质离子或腐蚀性离子将容易游离而使故障模式(Failure Mode)提早显现出来,达到筛选、剔除「早期夭折」产品的目的。

预烧试验分为「静态预烧」(Static Burn in)与「动态预烧」(Dynamic Burn in)两种,前者在试验时,只在组件上加上额定的工作电压及消耗额定的功率。而后者除此外并有仿真实际工作情况的讯号输入,故较接近实际况,也较严格。

基本上,每一批产品在出货前,皆须作百分之百的预烧试验,但由于成本及交货期等因素,有些产品就只作抽样(部分)的预烧试验,通过后才货。另外,对于一些我们认为它品质

够稳定且够水准的产品,亦可以抽样的方式进行。当然,具有高信赖度的产品,皆须通过百分之百的预烧试验

25) Carrier Gas 载气

用以携带一定制程反应物(液体或气体)进反应室的气体,例如用N2携带液态TEOS 进炉管,

N2即可称为载气。

26) Chamber 真空室,反应室

专指一密闭的空间,而有特殊的用途、诸如抽真空,气体反应或金属溅镀等。因此常需对此

空间的种种外在或内在环境加以控制;例如外在粒子数(particle)、湿度等及内在温度、压力、气逞流量、粒子数等达到最佳的反应条件。

27) Channel 通道 ; 缝道

当在MOS 的闸极加上电压(PMOS 为负,NMOS 为正)。则闸极下的电子或电洞会被其电场

所吸引或排斥而使闸极下的区域形成一反转层(Inversion layer)。也就是其下的半导体p-type 变成N-type Si ,N-type 变成p-type Si ,而与源极和汲极成同type ,故能导通汲极和源极。我们就称此反转层为"通道"。信道的长度"Channel Length"对MOS 组件的

参数有着极重要的影响,故我们对POL Y CD 的控制需要非常谨慎

28) Channel Stop Implantation 通道阻绝植入

在集成电路中,各电晶体彼此间则以场氧化层(FOX )加以隔离的,因为场氧化层上方常有金属导线通过,为了防止金属层,场氧化层,底材硅产生类似 NMOS 的电容效应,场氧化层下方的区域常掺有掺质浓度很高的P 型层,以防止类似 NMOS 的反转层在场氧化层下发生,而破坏电晶体间的隔离。这层P 型层通常称为“Channel Stop”,这层掺质是以离子植入(Implantation )的方式完成的,所以称为 通道阻绝植入。

29) Chemical Mechanical Polishing 化学机械研磨法

随着用以隔离之用的场氧化层(FOX ),CMOS 电晶体,金属层及介电层等构成IC 的各个结构

在芯片上建立之后,芯片的表面也将随之变得上下凸凹不平坦,致使后续制程变得更加困难。而传统半导体制程用以执行芯片表面平坦化的技术,以介电层SiO2的平坦为例,计有高温热流法、各种回蚀技术及旋涂式玻璃法。当VLSI 的制程推进到0.35以下后,以上这些技术已不能满足制程需求,故而也就产生了CMP 制程。所谓CPM 就是利用在表面布满研磨颗粒的研磨垫(polishing pad ),对凸凹不平的晶体表面,藉由化学助剂(reagent )的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理。

P-sub

N-Well

P-Well Pad Oxide Pad Oxide

SiN 1500A

SiN 1500A PR P- P- B11

30) Charge Trapping 电荷陷入

无特定分布位置,主要是因为MOS 操作时产生的电子或电洞被氧化层内的杂质或不饱和键

所捕陷造成。可以通过适当的回火来降低其浓度。

31) Chemical Vapor Deposition 化学气相沉积

参与反应的气体从反应器的主气流里藉着反应气体在主气流及芯片表面的浓度差,以扩散的

方式经过边界层传递到芯片的表面。反应物在表面相会后藉着芯片表面提供的能量,沉积反应发生。反应完成后,反应的副产物及未参与反应的反应气体从芯片表面吸解并进入边界层,最后进入主气流并被抽气装置抽离

32) Chip, Die 晶粒

一片芯片(OR 晶圆,即Wafer)上有许多相同的方形小单位,这些小单位即称为晶粒。同一芯片上的每个晶粒都是相同的构造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC ,故每一芯片所能制造出的IC 数量是很可观的。同样地,如果因制造的疏忽而产生的缺点,往住就会波及成百成千个产品。

33) Clean Room 洁净室

又称无尘室。半导体加工的环境是高净化空间,恒温恒湿,对微粒要求非常高。常用class

表化学气相沉积的五个主要的步骤。 (a )反应物以扩散通过界面边界层 (b )反应物吸附在晶片表面 (c )化学沉积反应发生 (d )Byproduct 及部分生成物以扩散通过界面边界层 (e )Byproduct 及部分生成物与未反应物进入主气流里,并离开系统

示等级(class 1即一立方米直径大于0.5微米的微粒只有一颗)。

34)CMOS (Complementary Metal Oxide Semiconductor 互补式金氧半导体)

金属氧化膜半导体(MOS,Metal-Oxide Semicoductor)其制造程序及先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅(或金属)做为闸极,利用加到闸极的电场来控制MOS组件的开关(导电或不导电)。按照导电载子的种类,MOS又可分成两种类型:NMOS(由电子导电)和PMOS(由电洞导电)。而互补式金氧半导体(CMOS, Complementary MOS)则是由NMOS及PMOS组合而成,具有省电,抗噪声能力强、α一Particle免役力好等许多优点,是超大规模集成电路(VLSI)的主流。

35)CDA(Compressed Dry Air )压缩干燥空气

通常指压力在60到110psi之间的空气,作为控气动阀的领气阀的气体源。

36)Compressive Stress 挤压应力

如图所示若在理想的底材上进行薄膜

沉积,反应完成后,因为薄膜与底材的热

膨胀系数并不相同,将产生热应力。当

薄膜热膨胀系数高于底材,则冷却的底

材如图b所示,使薄膜承受在一个拉伸

应力(Tensile Stress)之下;相反,薄

膜热膨胀系数低于底材,则冷却的外观

如图c所示,薄膜承受在一个挤压应力

(Compressive Stress)之下

37)Compressor 压缩机

将空气压缩形成高压气体的设备。

38)Contaminant 污染物

39)Constant-Surface-Concentration Diffusion恒定源:

通常杂质在半导体高温扩散有两种方式:

Constant-Surface-Concentration Diffusion(恒定源扩散):

The vapor source maintains a constant level of surface concentration during the entire Diffusion period (like POCl3 dope) 这个扩散模式,是假设离子在界面上所具备的浓度,并不随扩散的进行而改变。且一直为一个定值所建立。换句话说,不管离子的扩散持续多久,离子在界面上的浓度将维持在一个定值下。

Constant-Total-Dopant Diffusion(限定源扩散):

A fixed amount of dopant is deposited into the semiconductor surface in thin layer ,and the dopant

subsequently diffuse into the semiconductor (like ion implantation, drive in)

a Constant-Surface-Concentration Diffusion

b Constant-Total-Dopant Diffusion

40)Crack 龟裂( 或裂痕)

41)CROSS Section横截面

IC的制造,基本上是由一层一层的图案堆积上去,而为了了解堆积图案的结构,以改善制程,或解决制程问题,以电子显微镜(SEM)来观察,而切割横截面,观察横截面的方式,是其中较为普遍的一种。

42)Cryogenic Pump 低温泵

将一个表面温度降到极低,甚至结近绝对零度时,与这个表面相接触的气体分子,将会产生相变化,而凝结在低温表面上,称为低温凝结。还有一些气体虽然不能凝结,但与低温表面接触后,将因为表面与分子间的凡得瓦力(Van der Waals Force )而吸附在低温表面上,且活动性大减,称为低温吸附,Cryogenic Pump 就是利用低温凝结和低温吸附的原理,将气体分子从容器里排出,以达到降低容器压力的目的。

Cryo pump 原理:是利用吸附原理而工作: Cryo pump 为高真空pump ,应该和低真空pump 配合使用,工作前真空度应该达到10-2mbar,否则无法工作。当吸附气体饱和后,要做regen,即将高温N2通入使凝结的气体释放而排出pump 。入口处挡片吸附水泡,里面的特殊气体吸附(成液态状)

43) Curing 固化

当以SOG 来做介电层和平坦化的技术时,由于SOG 是一种由溶剂与含有介电材质的材料, 经混合而形成的一种液态介电材料,以旋涂(Spin-on Coating )的方式涂布在芯片的表面, 必须经过热处理来趋离SOG 本身所含的溶剂,称之为Curing.

44) Cycle Time 生产周期时间

指原料由投入生产线到产品于生产线产出所须的生产/制造时间。在TI-Acer ,生产周期时尚

两种解释 : 一为"芯片产出周期时间"(wafer-out time );一为"制程周期时间" (Process cycle time)

"芯片产出周期时间"乃指单一批号的芯片由投入到产出所须的生产/制造时间。

"制程周期时间"则指所有芯片于单一工站平均生产/制造时间的总和,亦即每一工站均有一平均生产/制造时间,而各工站 (从头至尾)平均生产/制造的加总即为该制程的制程周期时间。目前TI-Acer Line Report 的生产周期时间乃探用"制程周期时间"。

一般而言,生产周期时间可以下列公式概略推算之:

在制品(WIP )

生产周期时间=

产能(Throughout )

45) CV Shift:

利用量测MOS 电晶体在不同条件下的电容-电压关系曲线,来评估MOS 氧化层品质的一种技术。一般要求CV Shift<0.1V

C —V shift

:加电压量电容

不断加电压在 30℃时量取一条C —V 曲线,然升温至250℃再降到30℃时再量取一条C —V

曲线,发现两条C —V 曲线并不会完全重合,只有当C-V shift 小于0.1V 方符合标准。

Measure C-Vat 30o C 21o C/min

3 min Wafer cooling Measure C-Vat 30o C 30℃ 250℃

46) DC Magnetron Sputter 磁控DC 溅镀机 为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由径。这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢。

47) DC Plasma 直流电浆

电浆是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等。电浆产生器的两金属极板上加上直流电压而产生的电浆我们称为直流电浆。

48) DC Sputtering 直流溅镀法

脱离电浆的带正电荷离子,在暗区的电场的加速下,将获得极高的能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表

面的原子给“打击”出来,称为sputtering. 电极板加直流电压称为DC Sputtering.

◆ 先决条件 两个极板必须是导体,以避免带电荷粒子在电极板表面的累积。

◆ 阴极为导电材料,称为靶(Target )

49)

DCS

解决之道就是在DC PLASMA 里,加入一

组或几组永久性电磁。利用电磁力,使电

浆里的电子呈螺旋式的运动,借着电子与

气体分子间的碰撞的次数增加,让离子的

浓度不至于压力的调降而急剧的减少,又

能使电浆内的离子浓度与离子能量达到理

想的范围,以提升金属的沉积速率。

SiH2Cl2

50)Defect Density缺点密度

"缺点密度"系指芯片单位面积上(如每平方公分,每平方英寸等)有多少"缺点数"之意,此缺点数一般可分两大类:A.可视性缺点B不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线)后者则须藉助较精密电子仪器检验(如晶格缺陷)由于芯片制造过程甚为复杂漫长,芯片上缺点数愈少,产品良率品质必然愈佳,故"缺点密度"常被用来当做一个工厂制造的产品品质好坏的指标。

51)Densify密化

CVD沈积后由于所沈积的薄膜(Thin Film)的密度很低,故以高温步骤使薄膜中的分子重新结合以提高其密度,此种高温步骤即称为密化。密化通常以炉管在800℃以上的温度完成,但也可在RTP(Rapid Thermal Process) (快速升降温机台)完成。

52)空乏型Depletion MOS:

操作性质与增强型MOS相反,它的通道不须要任何闸极的加压(V g)便已存在,而必须在适当的V g下才消失。

53)Deposition Rate 沉积速率

表示薄膜成长快慢的参数。一般单位?/min

54)Depth of Well 井深

顾名思义即阱的深度。通过离子植入法植入杂质如磷离子或硼离子,然后通过Drive in将离子往下推所达到的深度。

55)Design Rule设计规范

由于半导体制程技术,系一门专业、精致又复杂的技术,容易受到不同制造设备制程方法( RECIPE )的影响,故在考虑各项产品如何从事制造技术完善、成功地制造出来时,须有一套规范来做有关技术上的规定,此即"Design Rule",其系依照各种不同产品的需求、规格,制造设备及制程方法、制程能力,各项相关电性参数规格等考虑,订正了如:

各制程层次、线路之间距离、线宽等的规格。

各制程层次厚度、深度等的规格。

各项电性参数等的规格。

等规格,以供产品设计者及制程技术工程师等人遵循、参考

56)DHF

Dilute HF,一般用来去除native oxide,稀释的HF( Dilute HF) HF:H2O=1:50

57)Die 晶粒

一片芯片(OR晶圆,即Wafer)上有许多相同的方形小单位,这些小单位即称为晶粒。

同一芯片上的每个晶粒都是相同的构造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC,故每一芯片所能制造出的IC数量是很可观的。同样地,如果因制造的疏忽而产生的缺点,往住就会波及成百成千个产品。

58)Dielectric 介电材料

介于导电材料之间的绝缘材料。我们常用的介电材料有SiO2,Si3N4,我们需要的介电材料要求:

1.良好的stepcoverage ,

2.低介电常数,

3.高崩溃电压,

4.低应力,

5.平坦性好。

介电材料的性质

良好的Step coverage、低介电常数、平坦性。

理想保护层的性质

沉积均匀、抗裂能力、低针孔密度、能抵抗水气及碱金属离子的穿透,硬度佳。

主要介电材质:SiO2 PSG 与BPSG Si3N4

59)Dielectric Constant 介电常数.

介电常数是表征电容性能的一重要参数,越小越好,它与导电性能成反比。

£=Cd/S ,C=£S/d

60)Diffusion 扩散

在一杯很纯的水上点一滴红墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,

但颜色是愈来愈淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子植入的方式做扩散源(即红墨水)。因固态扩散比液体慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成

61)Diffusion Coefficient 扩散系数

扩散系数是描述杂质在晶体中扩散快慢的一个参数。这与扩散条件下的温度,压强,浓度成正比。

D=D0exp(-Ea/KT)

D0是外插至无限大温度所得的扩散系数(cm2/s)

Ea是活化能(ev)

在低浓度时,扩散系数对温度倒数为线性关系,而与浓度无关

62)Diffusion Furnace 扩散炉

在半导体工业上常在很纯的硅芯片上以预置或离子植入的方式做扩散源(即红墨水)。因固态扩散比液体慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成。这样的炉管就叫做扩散炉。

63)Diffusion Pump 扩散式泵

通过加热油,油气蒸发高速喷射出去,带出气体分子,达到抽气的目的。它可以达到10-5Torr.

64)Dimple

凹痕表面上轻微的下陷或凹陷。

65)DI Water去离子水

IC制造过程中,常需要用酸碱溶液来蚀刻,清洗芯片。这些步骤之后,又须利用水把芯片表面残留的酸碱清除。而且水的用量是相当大。

然而IC工业用水,并不是一般的自来水,而是自来水或地下水经过一系列的纯化而成。原来自来水或地下水中,含有大量的细菌,金属离子及Particle,经厂务的设备将之杀菌过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为"去离子水"。专供IC制造的用。

66)Donor 施体

我们将使原本本征的半导体产生多余电子的杂质,称为施体。如掺入p的情况。

67)Dopant 掺杂

在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺入进去,达到改变其电性能的方法。如离子植入。

68)Dopant Drive in 杂质的赶入

我们离子植入后,一般植入的离子分布达不到我们的要求,我们通过进炉管加高温的方式将离子进行扩散,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复。69)Dopant Source掺杂源

我们将通过扩散的方法进行掺杂的物资叫掺杂源,例如将Poly里掺入P的POCl3我们将其叫

掺杂源。

70)Doping掺入杂质

为使组件运作,芯片必须掺以杂质,一般常用的有:

1.预置:

在炉管内通以饱和的杂质蒸气,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入,扩散;或利用沉积时同时进行预置。

2.离子植入:

先使杂质游离,然后加速植入芯片。

71)Dosage 剂量

表示离子数的一个参数。

72)DRAM, SRAM动态,静态随机存取内存

随机存取记忆器可分动态及静态两种,主要的差异在于动态随机存取内存(DRAM),在一段时间(一般是0.5ms~5ms)后,数据会消失,故必须在数据未消失前读取原数据再重写(refresh),此为其最大缺点,此外速度较慢也是其缺点。而DRAM的最大好处为,其每一记忆单元(bit)只需一个Transistor(晶体管)+一个Capacitor(电容器),故最省面积,而有最高的密度。而SRAM则有不需重写、速度快的优点,但是密度低,其每一记忆单元(bit)有两类:

1.需要六个Transistor(晶体管)

2.2﹒四个Transistor(晶体管)+两个Load resistor(负载电阻)。

由于上述它优缺点,DRAM一般皆用在PC(个人计算机)或其它不需高速且记忆容量大的记忆器,而SRAM则用于高速的中大型计算机或其它只需小记忆容量,如:监视器(Monitor)、打印机(Printer)等周控制或工业控制上。

73)Drain 汲极

通过掺杂,使其电性与底材P-Si相反的,我们将其称为汲极与源极。

74)Drive In 驱入

离子植入(ion implantation)虽然能较精确地选择杂质数量,但受限于离子能量,无法将杂质打入芯片较深(um级)的区域,因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除。此方法称的驱入。此法不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进。

在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞(Vacancy),这些缺陷会有助于杂质原子的扩散速度。另外,由于驱入是藉原子的扩散,因此其方向性是各方均等,甚至有可能从芯片逸出(out-diffusion),这是需要注意的

75)Dry Oxidation 干式氧化

在通入的气体中只有氧气与载气,只有氧气与底材发生氧化反应。我们将这种氧化叫干式氧化。

如我们的Gate-OX,这种方法生成的SiO2质量比较好,但生成速度比较慢。

76)Dry pump

Dry pump是最基本的真空pump,它是利用螺杆原理来工作的,它主要的特点是可以从大气压下直接开始抽气,所以可以单独使用。

一般真空度要求不高(E-3torr以下)如CVD及furnace仅使用dry pump即可

特点:Fewer moving parts

Higher Reliability

Less complexity

High speed

77) Dummy Wafer 挡片

对制程起一定辅助作用的硅片,区别于产品、控片,一般对其质量要求不是很高。

1)由于炉管的两端温度不稳定,气体的流量不稳定,所以我们在Boat 的两端放入不是产品 的硅片,我们将这样的硅片叫挡片。

2)离子植入若产品不足,则需补上非产品的硅片,即挡片

78) Electron/Hole 电子/电洞

电子是构成原子的带电粒子,带有一单位的负电荷,环绕在原子核四周,形成原子。

电洞是晶体中,在原子核间的共享电子,因受热干扰或杂质原子取代,电子离开原有的位置所遗留下来的"空缺" 因缺少一个电子,无法维持电中性, 可视为带有一单位的正电荷。

79) Electrical Breakdown 电崩溃

当NMOS 的沟道缩短,沟道接近汲极地区的载子将倍增,这些因载子倍增所产生的电子,

通常吸往汲极,而增加汲极电流的大小,部分电子则足以射入闸氧化层里,而产生的电洞,将流往低材,而产生底材电流;另一部分的电洞则被源极收集,使npn 现象加强,热电子的数量增加,足使更多的载子倍增,当超过闸极氧化层的承受能力时,就击穿闸氧化层,我们将这种现象叫电崩溃。

80) Electromigration 电子迁移

所谓电子迁移,乃指在电流作用下的金属。此系电子的动量传给带正电的金属离子所造成的。当组件尺寸愈缩小时,相对地电流密度则愈来愈大;当此大电流经过集成电路中的薄金属层时,某些地方的金属离子会堆积起来,而某些地方则有金属空缺情形,如此一来,堆积金属会使邻近的导体短路,而金属空缺则会引起断路。材料搬动主要原动力为晶界扩散。以溅镀法所沉积的Al ,经过适当的Anneal 之后,通常是以多晶(Poly-Crystalline )形式存在,当导电时,因为电场的影响,Al 原子将沿着晶粒界面(Grain-Boundary )移动。

有些方法可增加铝膜导体对电迁移的抗力,例如:加入抗电移能力较强的金属,如Cu

81) Ellipsometer 椭圆测厚仪

将已知波长的入射光分成线性偏极或圆偏极,照射2003-7-17在待射芯片,利用所得的不

同椭圆偏极光的强度讯号,以Fourier 分析及 Fresnel 方程式,求得待测芯片膜厚与折射率的仪器,称为椭圆测厚仪。简单的结构如下图所示:

82) EM (Electron Migration Test )电子迁移可靠度测试

当电流经过金属导线,使金属原子获得能量,沿区块边界(Grain Boundaries)扩散(Diffusion),使金属线产生空洞(Void),甚至断裂,形成失效。

其对可靠度评估可用电流密度线性模型求出:

AF =[J(stress)/J(op)]

×exp[Ea/Kb(1/T(top)-1/T(stress))]

TF =AF×T(stress)

83) Energy 能量

SLIT TYPE WEDGE TYPE

F

bamboo grain

F

F

能量是物理学的专有名词。

如下图,B比A的电压正l00伏,若在A板上有一电子受B板正电吸引而加速跑到B板,这时电子在B板就比在A板多了100电子伏特的能量。

84)增强型Enhance MOS:

|V g|>|V t|时,处于“开(ON)”的状态,且当|V g|<|V t|时,电晶体则在“关(OFF)”的状态。

它的通道必须在闸极处于适当的电压下时才会形成。

85)EPI WAFER磊晶芯片

磊晶系在晶体表面成长一层晶体。

86)Epitaxy 磊晶

外延附生:一种矿物的结晶附于另一矿物结晶表面的生长,这样两种矿物的结晶基层就会有同样的构造来源

87)EPROM (Erasable-Programmable ROM)电子可程序只读存储器

MASK ROM内所存的数据是在FAB内制造过程中便已设定好,制造完后便无法改变。就像任天堂游戏卡内的MASK ROM,存的是金牌玛丽,就无法变成双截龙。而EPROM是在ROM内加一特殊结构叫A FAMDS,它可使ROM内的数据保存。但常紫外光照到它时,它会使ROM内的数据消失,每一个记忆单位都归零。然后工程人员再依程序的规范,用30伏左右的电压将0101…数据灌入每一记忆单位。如此就可灌电压,照紫光,重复使用,存入不同的数据。

也就是说如果任天堂游戏卡内使用的是EPROM,那么您打腻了金牌玛丽,就把卡匣照紫光,然后灌双截龙的程序进去。卡匣就变成双截龙卡,不用去交换店交换了。

88)ESD静电破坏Electrostatic Damage静电放电Electrostatic Discharge

1.自然界的物质均由原子组成,而原子又由质子、中子及电子组成,在平常状态下,物质

呈中性,而在日常活动中,会使物质失去电子,或得到电子﹒此即产生一静电,得到

电子的物质为带负静电,失去电子即带正静电。静电大小会随着日常的工作环境而有所

不同,如下表所示。

2.当物质产生静电后,随时会放电,若放到电子组件上,例如IC,则会将组件破坏

而使不能正常工作,此即为静电破坏或静电放电。

3.防止静电破坏方法有二:

?在组件设计上加上静电保护电路。

-在工作环境上减少静电。例如工作桌的接地线,测试员的静电环,在运送上使用防静

电胶套及海绵等等。

89)ETCH蚀刻

在集成电路的制程中,常常需要将整个电路图案定义出来,其制造程序通常是先长出或盖上一层所需要的薄膜,再利用微影技术在这层薄膜上,以光阻定义出所欲制造的电路图案,再利用化学或物理方式将不需要的部份去除,此种去除步骤,便称为蚀刻(ETCH)。

一般蚀刻可分为湿式蚀刻(WET ETCH),及干式蚀刻(DRY ETCH) 两种。所谓湿蚀刻乃是利用化学品(通常是酸液)与所欲蚀刻的薄膜,起化学反应,产生气体或可溶性,生成物,达到图案定义的目的。而所谓干蚀刻,则是利用干蚀刻机台产生电浆将所欲蚀刻的薄膜,反应产生气体,由PUMP抽走达到图案定表的目的。

90)Evaporation 蒸镀

将我们的蒸镀源放在坩埚里加热,当温度升高到接近蒸镀源的熔点附近。这时,原本处于固态的蒸镀源的蒸发能力将特别强,利用这些被蒸发出来的蒸镀源原子,我们在其上方不远处的芯片表面上,进行薄膜沉积。我们将这种方法叫蒸镀。

限制与缺点

合金(Alloy)或化合物的沉积成分不易控制

沉积膜的阶梯覆盖能力(Step Coverage)较差

薄膜的纯度不易控制

在先进的VLSI制程中,已被具有较佳成分控制能力及阶

梯覆盖能力的溅镀(Sputtering)法所取代

91)Exposure曝光

其意表略同于照相机底片的感光

在基集成电路的制造过程中,定义出精细的光阻图形为其中重要的步骤,以运用最广的5X Stepper为例,其方式为以对紫外线敏感的光阻膜作为类似照相机底片,光罩上则有我们所设计的各种图形,以特殊波长的光线(G-LINE 436NM)照射光罩后,经过缩小镜片(Reduction Lens)光罩上的图形则呈5倍缩小后,精确地定义在底片上(芯片上的光阻膜)

经过显影后,即可将照到光(正光阻)的光阻显掉,而得到我们想要的各种精细图形,以作为蚀刻或离子植入用。

因光阻对于某特定波长的光线特别敏感,故在黄光室中,找将一切照明用光源过滤成黄色,以避免泛白光源中含有对光阻有感光能力的波长成份在,这一点各相关人员应特别注

意,否则会发生光线污染现象,而扰乱精细的光阻图形。

92)Extraction Electrode 萃取电极

如右图,Extraction Electrode是离

子植入机中用来将Source的Arc

反应室中的离子以电压萃取出来

的两个电极板。由电子抑制极板

(Suppression Electrode)和接地

极板(Ground Electrode)两部分组

成。

93)Fab 晶圆厂

Fabrication为"装配"或"制造"之意,与Manufacture意思一样。半导体制造程序,其步骤繁多,且制程复杂,需要有非常精密的设备和细心的作业,才能达到无缺点的品质。FAB系Fabrication的缩写,指的是"工厂"之意。我们常称FAB为"晶圆区",例如:进去"FAB"之前须穿上防尘衣。

94)Faraday Cup 法拉第杯

是离子植入机中在植入前用来测量离子束电流的装置。

95)Field Oxide 场氧化层

Field直译的意思是“场”。如运动场,足球场和武道场等的场都叫做Field。它的涵义就是一个有专门用途的区域。

在IC内部结构中,有一区域是隔离电场的地方,通常介于两个MOS晶体管之间,称为场区。

场区之上大部份会长一层厚的氧化层

96)Filament 灯丝

在离子植入机的离子源反应室里用来产生电子以解离气体用。通常采用钨、钽及钼等高温金属。利用直流电的加热,使灯丝表面释放出所谓“热离化电子”。

97)Filtration过滤

用过滤器(FILTER,为一半透明膜折迭而成)将液体或气体中的杂质给过滤掉,此称为Filtration(过滤)故IC制造业对洁净度的要求是非常的严,故各种使用的液体或气体(包括大气)必须借着过滤以达到洁净的要求。

待过滤的液体及气体能经过过滤器且成功地将杂质挡下,必须借着一个pump制造压差来完成,如何选择一组恰当的过滤器及PUMP是首要的课题。

98)Fixed Oxide Charge 固定氧化层电荷

位于离Si-SiO2接口30?的氧化层内,通常为正电荷。与氧化条件、退火条件及硅表面方

向有关。

99)Foundry客户委托加工

客户委托加工主要是接受客户委托,生产客户自有权利的产品,也就是客户提供光罩,由联华来生产制造,在将成品出售给客户,只收取代工费用,这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就叫硅代工(Silicon Foundry)。

100)Four Point Probe四点测针

是量测芯片片阻值(Sheet Resistance)Rs的仪器

其原理如下:

上图ABCD四针,A、D间通以电流I,B、C两针量取电压差(ΔV),则

Rs = K.ΔV/ I .

K是比例常数,和机台及针尖距离有关

101)FTIR傅氏转换红外线光谱分析仪

FTIR乃利用红外线光谱经傅利叶转换进而分析杂质浓度的光谱分析仪器。

己发展成熟,可Routine应用者,计有:

a. BPSG/PSG的含磷、含硼量预测。

b. 芯片的含氧、含碳量预测

c. 磊晶的厚度量测

发展中需进一步Setup者有:

a. 氮化硅中氢含量预测

b. 复晶硅中含氧量预测

c. 光阻特性分析

FTIR为一极便利的分析仪器,STD的建立为整个量测的重点,由于其中多利用光学原理,芯片状况( i.e.晶背处理状况)对量测结果影响至巨

102)Gas Cabinet 气体储柜

储存气体钢瓶的柜子,一般是处于负压状态,防止气体泄露到外部。

103)Gate 闸极

104)Gate Valve闸阀

用来控制气体压力的控制装置。

通常闸阀开启愈大,气体于反应室内呈现的压力较低,反之,开启愈小,压力较高。105)Gate Oxide 闸极氧化层

106)GOI (Gate Oxide Integrity)闸极氧化层完整性

半导体组件中,闸极氧化层的完整与否,关系着电容上电荷的存放能力,故需设计一适当

流程,其主要目的在测闸极氧化层的崩溃电压(breakdown voltage)、有效氧化层厚度等,以模拟闸极氧化层的品质及可信赖度,通常即以此崩溃电压值表示GOI的优劣程度107)Gettering 吸附

Gate Oxide是MOSFET(金氧半场效晶体管)中,相当重要的闸极之下的氧化层。此氧化层

厚度较薄,且品质要求也较严格

"Gettering"--系于半导休制程中,由于可能受到晶格缺陷"(Crystal Defect) 或金属类杂质污染等的影响,造成组件接口之间可能有漏电流(Junction Leakage)存在,而影响组件特性;

如何将这些晶格缺陷、金属杂质摒除解决的种种技术上做法,就叫做"Gettering"(吸附),

吸附一般又可分"内部的吸附°一Intrinsic Gettering。及"外部的吸附"一Extrinsic Gettering

前者系在下线制造之前先利用特殊高温步让谋晶圆表面的「晶格缺陷或含氧量」尽量降

低。

后者系利用外在方法如:晶背伤言、磷化物(POCL3)预置ETC将晶圆表面的缺陷及杂质等

尽量吸附到晶圆背面。二者均可有效改善上述问题。

108)Grain Size颗粒大小

直译为颗粒大小。一种晶体材料形成后,从微观的角度来看,材料都是一大堆颗粒累迭在

一起而成。这些颗粒有大有小,尺寸不一。而且材料的特性也会因为颗粒大小而变化,故

常要注意其大小变化

109) GRR Study Gauge Repeatability and Reproducibility 量测仪器重复性与再现

性的研究

将量测仪器的重复性一仪器本身的变异,再现性--操作人本身的变异,用统计的方法算出,

以判断量测仪器是否符合制程参数控制的需要

110) HEPA 高效率过滤器

HEPA (High Efficiency Particulate Air Filter) 为Clean Room 内用以滤去微粒的装置,一般以

玻璃纎维制成,可将0.1μm 或0.3μm 以上的微粒滤去99.97﹪,压力损失约 12.5mm-H 2O 。层流台能保持Class 100以下的洁净度,即靠HEPA 达成。目前除层流台使用 HEPA 外,其它如烤箱、旋转机,为了达到控制Particle 的效果﹒也都装有HEPA 的设计。

111) H 2SO 4硫酸

Sulfuric Acid 硫酸

目前最广泛使用的工业化学品。强力腐蚀性,浓稠,油状液体,依纯度不同,由无色至暗

棕色,与水以各种不同比例互溶。甚具活性。

溶解大部份的金属。浓硫酸具氧化,脱水,磺化大部分的有机化合物,常常引起焦黑。比

重1.84,沸点315℃。与水混合时,须格外小心,由于放热引起爆炸性的溅泼,永远是将酸加到水中,而非加水至酸中。不小心被溅到,用大量水冲洗。

目前在在线,主要用于SO 清洗及光阻去除

112) H3PO4磷酸

Phosphoric Acid 磷酸

无色无味起泡液体或透明晶形固体。依温度,浓度而定。在20℃ 50及75﹪强度为易流动

液体,85﹪为似糖浆,100%酸为晶体。比重1.834,熔点42.35℃。在213﹪失去Y2H2O ,形成焦磷酸。

溶于水,乙醇,腐蚀铁及合金。对皮肤,眼睛有剌激性,不小心被溅到,可用水冲洗。 目前磷酸用于SI3N4的去除,浓度是85﹪,沸点156℃,SI3N4 与SIO2的蚀刻比约为30:1 113) HCl 氯化氢(盐酸)

Hydrochloric Acid 盐酸。

无色或淡黄色,发烟,剌激性液体。氯化氢的水溶液。盐酸是一种强烈酸性及高腐蚀性酸。

市面出售的"浓或发烟酸含有氯化氢38%,比重1.19。

氯化氢溶解在水中有各种不同的浓度。可溶于水,酒精,苯,不可燃。用途广泛。可用于

食品加工,金属的酸洗与清洁,工业酸化,一般的清洗,实验试药。

不小心被溅到,用大量水冲洗。目前在线,主要用于RCA 清洗 Tru e Standa rd Repeatability Tru e Standa rd

半导体名词解释

1. 何谓PIE PIE的主要工作是什幺 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义 答:8吋硅片(wafer)直径为200mm , 直径为300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低200→300 面积增加倍,芯片数目约增加倍 5. 所谓的um 的工艺能力(technology)代表的是什幺意义 答:是指工厂的工艺能力可以达到um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从>>>> 的technology改变又代表的是什幺意义 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从-> -> -> -> 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓N, P-type wafer 答:N-type wafer 是指掺杂negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module) 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体工艺英语名词解释

半导体工艺英语名词解释 CMP CMP 是哪三个英文单词的缩写 答:Chemical Mechanical Polishing (化学机械研磨) CMP是哪家公司发明的 答:CMP是IBM在八十年代发明的。 简述CMP的工作原理 答:化学机械研磨是把芯片放在旋转的研磨垫(pad)上,再加一定的压力,用化学研磨液(slurry)来研磨的。为什幺要实现芯片的平坦化 答:当今电子元器件的集成度越来越高,例如奔腾IV就集成了四千多万个晶体管,要使这些晶体管能够正常工作,就需要对每一个晶体管加一定的电压或电流,这就需要引线来将如此多的晶体管连接起来,但是将这幺多的晶体管连接起来,平面布线是不可能的,只能够立体布线或者多层布线。在制造这些连线的过程中,层与层之间会变得不平以至不能多层迭加。用CMP来实现平坦化,使多层布线成为了可能。 CMP在什幺线宽下使用 答:CMP在微米以下的制程要用到。 什幺是研磨速率(removal rate) 答:研磨速率是指单位时间内研磨膜厚度的变化。 研磨液(slurry)的组成是什幺 答:研磨液是由研磨颗粒(abrasive particles),以及能对被研磨膜起化学反应的化学溶液组成。 为什幺研磨垫(Pad)上有一些沟槽(groove) 答:研磨垫上的沟槽是用来使研磨液在研磨垫上达到均匀分布,使得研磨后芯片上的膜厚达到均匀。 为什幺要对研磨垫进行功能恢复(conditioning) 答:研磨垫在研磨一段时间后,就有一些研磨颗粒和研磨下来的膜的残留物留在研磨垫上和沟道内,这些都会影响研磨液在研磨垫的分布,从而影响研磨的均匀性。 什幺是blanket wafer 什幺是pattern wafer 答:blanket wafer 是指无图形的芯片。pattern wafer 是指有图形的芯片。

半导体物理之名词解释

1.迁移率 参考答案: 单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物理中重要的概念和参数之一。迁移率的表达式为:* q m τμ= 可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 影响迁移率的主要因素有能带结构(载流子有效质量)、温度和各种散射机构。 n p neu peu σ=+ 2.过剩载流子 参考答案: 在非平衡状态下,载流子的分布函数和浓度将与热平衡时的情形不同。非平衡状态下的载流子称为非平衡载流子。将非平衡载流子浓度超过热平衡时浓度的部分,称为过剩载流子。 非平衡过剩载流子浓度:00,n n n p p p ?=-?=-,且满足电中性条件:n p ?=?。可以产 生过剩载流子的外界影响包括光照(光注入)、外加电压(电注入)等。 对于注入情形,通过光照或外加电压(如碰撞电离)产生过剩载流子:2i np n >,对于抽取情形,通过外加电压使得载流子浓度减小:2i np n <。 3. n 型半导体、p 型半导体 N 型半导体:也称为电子型半导体.N 型半导体即自由电子浓度远大于空穴浓度的杂质半导体.在纯净的硅晶体中掺入五价元素(如磷),使之取代晶格中硅原子的位置,就形成了N 型半导体.在N 型半导体中,自由电子为多子,空穴为少子,主要靠自由电子导电.自由电子主要由杂质原子提供,空穴由热激发形成.掺入的杂质越多,多子(自由电子)的浓度就越高,导电性能就越强. P 型半导体:也称为空穴型半导体.P 型半导体即空穴浓度远大于自由电子浓度的杂质半导体.在纯净的硅晶体中掺入三价元素(如硼),使之取代晶格中硅原子的位子,就形成P 型半导体.在P 型半导体中,空穴为多子,自由电子为少子,主要靠空穴导电.空穴主要由杂质原子提供,自由电子由热激发形成.掺入的杂质越多,多子(空穴)的浓度就越高,导电性能就越强. 4. 能带 当N 个原子处于孤立状态时,相距较远时,它们的能级是简并的,当N 个原子相接近形成晶体时发生原子轨道的交叠并产生能级分裂现象。当N 很大时,分裂能级可看作是准连续

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体物理名词解释

半导体物理名词解释

1.单电子近似:假设每个电子是在周期性排列且固定不动的原子核势场及其他电子的平均势场中运动。该势场是具有与晶格同周期的周期性势场。 2.电子的共有化运动:原子组成晶体后,由于电子壳层的交叠,电子不再完全局限在某一个原子上,可以由一个原于转移到相邻的原子上去,因而,电子将可以在整个晶体中运动。这种运动称为电子的共有化运动。 3.允带、禁带: N个原子相互靠近组成晶体,每个电子都要受到周围原子势场作用,结果是每一个N度简并的能级都分裂成距离很近能级,N个能级组成一个能带。分裂的每一个能带都称为允带。允带之间没有能级称为禁带。 4.准自由电子:内壳层的电子原来处于低能级,共有化运动很弱,其能级分裂得很小,能带很窄,外壳层电子原来处于高能级,特别是价电子,共有化运动很显著,如同自由运动的电子,常称为“准自由电子”,其能级分裂得很厉害,能带很宽。 6.导带、价带:对于被电子部分占满的能带,在外电场的作用下,电子可从外电场中吸收能量跃迁到未被电子占据的能级去,形成了电流,起导电作用,常称这种能带为导带。下面是已被价电子占满的满带,也称价带。 8.(本证激发)本征半导体导电机构:对本征半导体,导带中出现多少电子,价带中相应地就出现多少空穴,导带上电子参与导电,价带上空穴也参与导电,这就是本征半导体的导电机构。 9.回旋共振实验意义:这通常是指利用电子的回旋共振作用来进行测试的一种技术。该方法可直接测量出半导体中载流子的有效质量,并从而可求得能带极值附近的能带结构。当交变电磁场角频率W等于回旋频率Wc时,就可以发生共振吸收,Wc=qB/有效质量 10.波粒二象性,动量,能量 P=m0v E=1 2P2 m0 P=hk 1.间隙式杂质:杂质原子位于晶格原子间的间隙位置,称为间隙式杂质。

半导体物理考试名词解释

1. 有效质量:粒子在晶体中运动时具有的等效质量,它概括 了半导体内部势场的作用。 2. 费米能级:费米能级是T=0 K时电子系统中电子占据态和未占据态的分界线,是T=0 K时系统中电子所能具有的最高能量。 3. 准费米能级:半导体处于非平衡态时,导带电子和价带空穴不再有统一的费米能级,但可以认为它们各自达到平衡,相应的费米能级称为电子和空穴的准费米能级。 4. 金刚石型结构:金刚石结构是一种由相同原子构成的复式 晶体,它是由两个面心立方晶胞沿立方体的空间对角线彼此位移四分之一空间对角线长度套构而成。每个原子周围都有4个最近邻的原子,组成一个正四面体结构。 5. 闪锌矿型结构:闪锌矿型结构的晶胞,它是由两类原子各 自组成的面心立方晶格,沿空间对角线彼此位移四分之一空间对角线长度套构而成。 6. N型半导体:在纯净的硅晶体中掺入五价元素(如磷),使 之取代晶格中硅原子的位置,就形成了N型半导体。7. P型半导体:在纯净的硅晶体中掺入三价元素(如硼), 使之取代晶格中硅原子的位置,形成P型半导体。 8. 状态密度:在能带中能量E附近每单位能量间隔内的量子 态数 9. 费米分布函数:大量电子在不同能量量子态上的统计分布 10.非平衡载流子:半导体处于非平衡态时,比平衡态时多出来的那一部分载流子称为非平衡载流子。Δp=Δn 11.直接复合:电子从导带直接跃迁至价带与空穴相遇而复 合。 12.间接复合:电子通过禁带中的能级而跃迁至价带与空穴 相遇而复合。 13.施主能级:通过施主掺杂在半导体的禁带中形成缺陷能 级,被子施主杂质束缚的电子能量状态称施主能级。 14 受主能级:通过受主掺杂在半导体的禁带中形成缺陷能 级。正常情况下,此能级为空穴所占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 15.陷阱中心:半导体中的杂质和缺陷在禁带中形成一定的能 级,这些能级具有收容部分非平衡载流子的作用,杂质能级的这种积累非平衡载流子的作用称为陷阱效应。把产生显著陷阱效应的杂质和缺陷称为陷阱中心。 16.复合中心:半导体中的杂质和缺陷可以在禁带中形成一定 的能级,对非平衡载流子的寿命有很大影响。杂质和缺陷越多,寿命越短,杂质和缺陷有促进复合的作用,把促进复合的杂质和缺陷称为复合中心。(2分) 17等电子复合中心:等电子复合中心:在Ⅲ-Ⅴ族化合物半导体中掺入一定量的与主原子等价的某种杂质原子,取代格点上的原子。由于杂质原子和主原子之间电负性的差别,中性杂质原子可以束缚电子或空穴而成为带电中心,带电中心会吸引和被束缚载流子符号相反的载流子,形成一个激子束缚态。 18.迁移率:单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物 理中重要的概念和参数之一。迁移率的表达式为:μ=qτ/m* 。可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 19.漂移运动:载流子在电场作用下的运动。总漂移电流密度方程 E pq nq J J J p n p n ) (μ μ+ = + = 20.扩散运动:当半导体内部的载流子存在浓度梯度时,引起载流子由浓度高的地方向浓度低的地方扩散,扩散运动是载流子的有规则运动。电子扩散电流dx dn qD J n diff n = , 空穴扩散电流dx dp qD J p diff p - = , 21.简并半导体:对于重掺杂半导体,费米能级接近或进入导带或价带,导带/价带中的载流子浓度很高,泡利不相容原理起作用,电子和空穴分布不再满足玻耳兹曼分布,需要采用费米分布函数描述。称此 类半导体为简并半导体。满足的条件 为 22.非简并半导体:掺杂浓度较低,其费米能级EF在禁带中 的半导体;半导体中载流子分布可由经典的玻尔兹曼分布代替费米分布描述时,称之为非简并半导体 23迁移率:单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物理中重要的概念和参数之一。迁移率的表达式为:μ=qτ/m* 。可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 24硅中掺金的工艺主要用于制造__器件。 若某材料电阻率随温度上升而先下降后上升,该材料是__。 25.Pn结外加反向偏压时,流过pn结的电流比由扩散理论得 到的理论结果要大,而且随外加反向偏压的增大而缓慢增加。除扩散电流外,该电流还包括__。 26若某半导体导带中发现电子的几率为零,则该半导体必定__。 27室温下,,已知Si的电子迁移率为, Dn为。 28在光电转换过程中,硅材料一般不如砷化镓量子效率高,因其。 28.有效陷阱中心的位置靠近。 29.对于只含一种杂质的非简并n型半导体,费米能级Ef随 温度上升而。 30.长声学波对载流子的散射几率Ps与温度T的关系 是,由此所决定的迁移率与温度的关系为31.已知硅的禁带宽度为1.12eV,则本征吸收的长波限为 (微米),锗的禁带宽度为0.67eV,则长波限为(微米)。 32.复合中心的作用是。起有效复合中 心的杂质能级必须位于,而且对电子和空穴的俘获系数rn 和rp 须满足。 0.026 k T q V =

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体名词解释

ACTIVE AREA主动区(工作区) 主动晶体管(ACTIVE FRANSISTOR)被制造的区域即所谓的主动区(active area)在标准之MOS制造过程中ACTIVE AREA是由,一层氮化硅光罩及等接氮化硅蚀刻之后的局部特区氧化(LOCOS OXIDATION)所形成的,而由于利用到局部场氧化之步骤.所以Active AREA 会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来得小以长0.6UM 之场区氧化而言大概会有O.5 UM之BIRD'S BEAK存在也就是说ACTIVE AREA比原在之氮化硅光罩定义之区域小O.5UM Acetone丙酮 1.丙碗是有机溶剂的一种,分子式为CH30HCH3 2.性质:无色,具剌激性薄荷臭味之液体 3.用途:在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭 4﹒毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、念心、呕吐、目眩、意识不明等。 5﹒允许浓度:1000ppm ADI显影后检查 After Developing Inspection之缩写 目的:检查黄光室制程;光阻覆盖→对准→曝光弓显影。发现缺点后,如覆盖不良、显影不良‥‥等即予修改(Rework)﹒以维产品良率、品质。 方法:利用目检、显微镜为之。 AEI蚀刻后检查 1. AEI 即After Etching Inspection,在蚀刻制程光阻去除、前反光阻去除后,分别对产品实施主检或抽样检查。 2. AEI之目的有四: 2-1提高产品良率,避免不良品外流。 2-2达到品质的一致性和制程之重复性。 2-3显示制程能力之指针。 2-4防止异常扩大,节省成本 3. 通常AEI检查出来之不良品,非必要时很少做修改。因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低之缺点。Air Shower空气洗尘室 进入洁净室之前,须穿无尘衣,因在外面更衣室之故﹒无尘衣上沽着尘埃,故进洁净室之前﹒须经空气喷洗机将尘埃吹掉。 Alignment对准 目的:在IC的制造过程中,必须经过6至10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。

半导体名词解释

1)Acetone 丙酮 丙酮是有机溶剂的一种,分子式为CH3COCH3 性质:无色,具剌激性薄荷臭味的液体 用途:在FAB内的用途,主要在于黄光室内正光阻的清洗、擦拭 毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量的丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、恶心、呕吐、目眩、意 识不明等。 允许浓度:1000ppm 2)Active Area 主动区域 MOS核心区域,即源,汲,闸极区域 3)AEI蚀刻后检查 (1)AEI 即After Etching Inspection,在蚀刻制程光阻去除前和光阻去除后,分别对产品实施主检或抽样检查。 (2)AEI的目的有四: 提高产品良率,避免不良品外流。 达到品质的一致性和制程的重复性。 显示制程能力的指标。 防止异常扩大,节省成本 (3)通常AEI检查出来的不良品,非必要时很少做修改。因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低的缺点。4)Al-Cu-Si 铝硅铜 金属溅镀时所使用的原料名称,通常是称为T arget,其成份为0.5%铜,1%硅及98.5%铝,一般制程通常是使用99%铝1%硅.后来为了金属电荷迁移现象(Electromigration) 故渗加 0.5%铜降低金属电荷迁移 5)Alkaline Ions 碱金属雕子 如Na+,K+,破坏氧化层完整性,增加漏电密度,减小少子寿命,引起移动电荷,影响器件稳定性。其主要来源是:炉管的石英材料,制程气体及光阻等不纯物。

6)Alloy 合金 半导体制程在蚀刻出金属连线后,必须加强Al与SiO2间interface的紧密度,故进行Alloy步骤,以450℃作用30min,增加Al与Si的紧密程度,防止Al层的剥落及减少欧姆接触的电阻值,使R C的值尽量减少。 7)Aluminum 铝 一种金属元素,质地坚韧而轻,有延展性,容易导电。普遍用于半导体器件间的金属连线,但因其易引起spike及Electromigration,故实际中会在其中加入适量的Cu或Si 8)Anneal 回火 又称退火:也叫热处理,集成电路工艺中所有的在氮气等不活泼气氛中进行的热处理过程都可以称为退火。 a)激活杂质:使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载 流子,起到杂质的作用。 b)消除损伤:离子植入后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁 区(进入底材中的离子行进中将硅原子撞离原来的晶格位置,致使晶体的特性改变)。 而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、 时间差异来控制全部或局部的活化植入离子的功能 c)氧化制程中的回火主要是为了降低界面态电荷,降低SiO2的晶格结构 退火方式: ?炉退火 ?快速退火:脉冲激光法、扫描电子束、连续波激光、非相干宽带频光源(如卤光灯、电弧灯、石墨加热器、红外设备等) 9)Angstrom 埃(?) 是一个长度单位,1?=10-10米,其大小为1公尺的佰亿分之一,约人的头发宽度的伍拾万分之

半导体物理试卷a答案

一、名词解释(本大题共5题每题4分,共20分) 1. 受主能级:通过受主掺杂在半导体的禁带中形成缺陷能级。正常情况下,此能级为空穴所占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 2. 直接复合:导带中的电子越过禁带直接跃迁到价带,与价带中的空穴复合,这样的复合过程称为直接复合。 3. 空穴:当满带顶附近产生P0个空态时,其余大量电子在外电场作用下所产生的电流,可等效为P0个具有正电荷q和正有效质量m p,速度为v(k)的准经典粒子所产生的电流,这样的准经典粒子称为空穴。 4. 过剩载流子:在光注入、电注入、高能辐射注入等条件下,半导体材料中会产生高于热平衡时浓度的电子和空穴,超过热平衡浓度的电子△n=n-n0和空穴 △p=p-p0称为过剩载流子。 5.费米能级、化学势 答:费米能级与化学势:费米能级表示等系统处于热平衡状态,也不对外做功的情况下,系统中增加一个电子所引起系统自由能的变化,等于系统的化学势。处于热平衡的系统有统一的化学势。这时的化学势等于系统的费米能级。费米能级和温度、材料的导电类型杂质含量、能级零点选取有关。费米能级标志了电子填充能级水平。费米能级位置越高,说明较多的能量较高的量子态上有电子。随之温度升高,电子占据能量小于费米能级的量子态的几率下降,而电子占据能量大于费米能级的量子态的几率增大。 二、选择题(本大题共5题每题3分,共15分) 1.对于大注入下的直接辐射复合,非平衡载流子的寿命与(D ) A. 平衡载流子浓度成正比 B. 非平衡载流子浓度成正比 C. 平衡载流子浓度成反比 D. 非平衡载流子浓度成反比 2.有3个硅样品,其掺杂情况分别是: 含铝1×10-15cm-3乙.含硼和磷各1×10-17cm-3丙.含镓1×10-17cm-3 室温下,这些样品的电阻率由高到低的顺序是(C ) 甲乙丙 B. 甲丙乙 C. 乙甲丙 D. 丙甲乙3.有效复合中心的能级必靠近( A ) 禁带中部 B.导带 C.价带 D.费米能级4.当一种n型半导体的少子寿命由直接辐射复合决定时,其小注入下的少子寿

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体物理名词解释总结(不完全正确,仅供参考)

●有效质量:粒子在晶体中运动时具有的等效质量,它概括了半导体内部势场的作用。其 物理意义:1.有效质量的大小仍然是惯性大小的量度;2.有效质量反映了电子在晶格与外场之间能量和动量的传递,因此可正可负。 ●能带:晶体中,电子的能量是不连续的,在某些能量区间能级分布是准连续的,在某些 区间没有能及分布。这些区间在能级图中表现为带状,称之为能带。 ●空穴:假想的粒子,与价带顶部的空状态相关的带正电“粒子”。 ●空穴:在电子挣脱价键的束缚成为自由电子,其价键中所留下来的空位。 ●空穴:定义价带中空着的状态看成是带正电荷的粒子,称为空穴。 ●替位式杂质:杂质原子取代晶格原子而位于晶格点处。 ●间隙式杂质:杂质原子位于晶格原子的间隙位置。 ●点缺陷:是最简单的晶体缺陷,它是在结点上或邻近的微观区域内偏离晶体结构正常排 列的一种缺陷。包括:间隙原子和空位是成对出现的弗仓克耳缺陷和只在晶体内形成空位而无间隙原子的肖特基缺陷。 ●施主能级:通过施主掺杂在半导体的禁带中形成缺陷能级,被子施主杂质束缚的电子能 量状态称为施主能级。 ●施主能级:离化能很小,在常温下就能电离而向导带提供电子,自身成为带正电的电离 施主,通常称这些杂质能级为施主能级。 ●受主杂质:能够接受电子而产生导电空穴,并形成负电中心的杂质。 ●受主杂质:Ⅲ族杂质在硅、锗中能够接受电子而产生导电空穴,并形成负点中心,所以 称它们为受主杂质或p型杂质。 ●受主能级:通过受主掺杂在半导体的禁带中形成缺陷能级。正常情况下,此能级为空穴 所占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 ●n型半导体:以电子为主要载流子的半导体。 ●p型半导体:以空穴为主要载流子的半导体。 ●多数载流子:指的是半导体中的电子流。n型半导体中的电子和p型半导体中的空穴称 之为多数载流子。 ●少数载流子:指的是半导体中的电子流。n型半导体中的空穴和p型半导体中的电子称 之为少数载流子。 ●(半导体材料中有电子和空穴两种载流子。在 N 型半导体中,电子是多数载流子, 空穴 是少数载流子。在P型半导体中,空穴是多数载流子,电子是少数载流子。)

半导体物理试卷b答案

半导体物理试卷b答案 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

一、名词解释(本大题共5题每题4分,共20分) 1. 直接复合:导带中的电子越过禁带直接跃迁到价带,与价带中的空穴复合,这样的复合过程称为直接复合。 2.本征半导体:不含任何杂质的纯净半导体称为本征半导体,它的电子和空穴数量相同。 3.简并半导体:半导体中电子分布不符合波尔兹满分布的半导体称为简并半导体。 过剩载流子:在光注入、电注入、高能辐射注入等条件下,半导体材料中会产生高于热平衡时浓度的电子和空穴,超过热平衡浓度的电子△n=n-n 和空穴 称为过剩载流子。 △p=p-p 4. 有效质量、纵向有效质量与横向有效质量 答:有效质量:由于半导体中载流子既受到外场力作用,又受到半导体内部周期性势场作用。有效概括了半导体内部周期性势场的作用,使外场力和载流子加速度直接联系起来。在直接由实验测得的有效质量后,可以很方便的解决电子的运动规律。 5. 等电子复合中心 等电子复合中心:在III- V族化合物半导体中掺入一定量与主原子等价的某种杂质原子,取代格点上的原子。由于杂质原子与主原子之间电性上的差别,中性杂质原子可以束缚电子或空穴而成为带电中心。带电中心吸引与被束缚载流子符号相反的载流子,形成一个激子束缚态。这种激子束缚态叫做等电子复合中心。 二、选择题(本大题共5题每题3分,共15分) 1.对于大注入下的直接辐射复合,非平衡载流子的寿命与(D ) A. 平衡载流子浓度成正比 B. 非平衡载流子浓度成正比 C. 平衡载流子浓度成反比 D. 非平衡载流子浓度成反比2.有3个硅样品,其掺杂情况分别是: 甲.含铝1×10-15cm-3乙.含硼和磷各1×10-17cm-3丙.含镓1×10-17cm-3室温下,这些样品的电子迁移率由高到低的顺序是(C ) 甲乙丙 B. 甲丙乙 C. 乙甲丙 D. 丙甲乙

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

半导体物理考试重点

半导体物理考试重点 题型:名词解释3*10=30分;简答题4*5=20分;证明题10*2=20分;计算题15*2=30分 一.名词解释 1、施主杂志:在半导体中电离时,能够释放电子而产生导电电子并形成正电中心的杂质称为施主杂质。 2、受主杂志:在半导体中电离时,能够释放空穴而产生导电空穴并形成负电中心的杂质称为受主杂质。 3、本征半导体:完全不含缺陷且无晶格缺陷的纯净半导体称为本征半导体。实际半导体不可能绝对地纯净,本征半导体一般是指导电主要由本征激发决定的纯净半导体。 4、多子、少子 (1)少子:指少数载流子,是相对于多子而言的。如在半导体材料中某种载流子占少数,在导电中起到次要作用,则称它为少子。 (2)多子:指多数载流子,是相对于少子而言的。如在半导体材料中某种载流子占多数,在导电中起到主要作用,则称它为多子。 5、禁带、导带、价带 (1)禁带:能带结构中能量密度为0的能量区间。常用来表示导带与价带之间能量密度为0的能量区间。 (2)导带:对于被电子部分占满的能带,在外电场作用下,电子可以从外电场中吸收能量跃迁到未被电子占据的能级去,形成电流,起导电作用,常称这种能带为导带 (3)价带:电子占据了一个能带中的所有的状态,称该能带为满带,最上面的一个满带称为价带 6、杂质补偿 施主杂质和受主杂质有互相抵消的作用,通常称为杂质的补偿作用。 7、电离能:使多余的价电子挣脱束缚成为导电电子所需要的能量称为电离能

8、(1)费米能级:费米能级是绝对零度时电子的最高能级。 (2)受主能级:被受主杂质所束缚的空穴的能量状态称为受主能级 (3)施主能级:被施主杂质束缚的电子的能量状态称为施主能级 9、功函数:功函数是指真空电子能级E0 与半导体的费米能级之差。 10、电子亲和能:真空的自由电子能级与导带底能级之间的能量差,也就是把导带底的电子拿出到真空去而变成自由电子所需要的能量。 11、直/间接复合 (1)直接复合:电子在导带和价带之间的直接跃迁,引起电子和空穴的复合,称为直接复合。 (2)间接复合:电子和空穴通过禁带的能级(复合中心)进行的复合方式称为间接复合。 12、(1)非平衡载流子:半导体中比热平衡时所多出的额外载流子。 (2)非平衡载流子的寿命:非平衡载流子的平均生存时间。 13、载流子热运动 14、小注入条件:当注入半导体材料的非平衡载流子的浓度远小于平衡时多数载流子的浓度时,满足这个条件的注入称为小注入。 15、(1)载流子迁移率:单位电场强度下载流子所获得的平均漂移速率。 (2)载流子产生率:单位时间内载流子的产生数量 16、深/浅能级 (1)浅能级杂质:在半导体中,能够提供能量靠近导带的电子束缚态或能量接近价带的空穴束缚态的杂质称为浅能级杂质。(2)深能级杂质:在半导体中,能够提供能量接近价带的电子束缚态或能量接近导带的空穴束缚态的杂质称为深能级杂质。17、同/异质结 (1)同质结:由同一种半导体材料形成的结称之为同质结,包括结、结、结。 (2)异质结:由不同种半导体材料形成的结称之为异质结,包括结、结、结、结。

完整word版,半导体物理名词解释

1.单电子近似:假设每个电子是在周期性排列且固定不动的原子核势场及其他电子的平均势场中运动。该势场是具有与晶格同周期的周期性势场。 2.电子的共有化运动:原子组成晶体后,由于电子壳层的交叠,电子不再完全局限在某一个原子上,可以由一个原于转移到相邻的原子上去,因而,电子将可以在整个晶体中运动。这种运动称为电子的共有化运动。 3.允带、禁带:N个原子相互靠近组成晶体,每个电子都要受到周围原子势场作用,结果是每一个N度简并的能级都分裂成距离很近能级,N个能级组成一个能带。分裂的每一个能带都称为允带。允带之间没有能级称为禁带。 4.准自由电子:内壳层的电子原来处于低能级,共有化运动很弱,其能级分裂得很小,能带很窄,外壳层电子原来处于高能级,特别是价电子,共有化运动很显著,如同自由运动的电子,常称为“准自由电子”,其能级分裂得很厉害,能带很宽。 6.导带、价带:对于被电子部分占满的能带,在外电场的作用下,电子可从外电场中吸收能量跃迁到未被电子占据的能级去,形成了电流,起导电作用,常称这种能带为导带。下面是已被价电子占满的满带,也称价带。 8.(本证激发)本征半导体导电机构:对本征半导体,导带中出现多少电子,价带中相应地就出现多少空穴,导带上电子参与导电,价带上空穴也参与导电,这就是本征半导体的导电机构。 9.回旋共振实验意义:这通常是指利用电子的回旋共振作用来进行测试的一种技术。该方法可直接测量出半导体中载流子的有效质量,并从而可求得能带极值附近的能带结构。当交变电磁场角频率W等于回旋频率Wc时,就可以发生共振吸收,Wc=qB/有效质量 10.波粒二象性,动量,能量 P=m0v E=1 2P2 m0 P=hk 1.间隙式杂质:杂质原子位于晶格原子间的间隙位置,称为间隙式杂质。

完整word版,半导体物理名词解释总结,推荐文档

半导体物理名词解释 1.有效质量:a 它概括了半导体内部势场的作用,使得在解决导体中电子在外力作用下的运动规律时, 可以不涉及半导体内部势场的作用 b 可以由实验测定,因而可以很方便的解决电子的运动规律 2.空穴:定义价带中空着的状态看成是带正电荷的粒子,称为空穴 1.意义a 把价带中大量电子对电流的贡献仅用少量的空穴表达出来b金属中仅有电 子一种载流子,而半导体中有电子和空穴两种载流子,正是这两种载流子的相互作用, 使得半导体表现出许多奇异的特性,可用来制造形形色色的器件 3.理想半导体(理想与非理想的区别):a 原子并不是静止在具有严格周期性的晶格的格点位置上,而 是在其平衡位置附近振动 b 半导体材料并不是纯净的,而是含有各种杂质即在晶格格点位置上存在着与组成半导体材料的元素不同其他化学元素的原子c 实际的半导体晶格结构并不是完整无缺的,而存在着各种形式的缺陷 4.杂质补偿:在半导体中,施主和受主杂质之间有相互抵消的作用通常称为杂质的补偿作用 5.深能级杂质:非Ⅲ、Ⅴ族杂质在硅、锗的禁带中产生的施主能级距离导带较远,他们产生的受主能级 距离价带也较远,通常称这种能级为深能级,相应的杂质为深能级杂质 6.简并半导体:当E-E F》k o T不满足时,即f(E)《1,[1-f(E)]《1的条件不成立时,就必须考虑泡利 不相容原理的作用,这时不能再应用玻耳兹曼分布函数,而必须用费米分布函数来分析导带中的电子及价带中的空穴的统计分布问题。这种情况称为载流子的简并化,发生载流子简并化的半导体被称为简并半导体(当杂质浓度超过一定数量后,载流子开始简并化的现象称为重掺杂,这种半导体即称为简并半导体 7.热载流子:在强电场情况下,载流子从电场中获得的能量很多,载流子的平均能量比热平衡状态时的 大,因而载流子与晶格系统不再处于热平衡状态。温度是平均动能的量度,既然载流子的能量大于晶格系统的能量,人们便引入载流子的有效温度T e来描写这种与晶格系统不处于热平衡状态时的载流子,并称这种状态载流子为热载流子 8.砷化镓负阻效应:当电场达到一定値时,能谷1中的电子可从电场中获得足够的能量而开始转移到能 谷2,发生能谷间的散射,电子的动量有较大的改变,伴随吸收或发射一个声子。但是,这两个能谷不是完全相同的,进入能谷2的电子,有效质量大为增加,迁移率大大降低,平均漂移速度减小,电导率下降,产生负阻效应 9.准费米能级:统一的费米能级是热平衡状态的标志。当外界的影响破坏了热平衡,使半导体处于非平 衡状态时,就不再存在统一的费米能级。但是可以认为,分别就导带和价带中的电子讲,他们各自基本上处于平衡状态,导带与价带之间处于不平衡状态。因为费米能级和统计分布函数对导带和价带各自仍是适用的,可以引入导带费米能级和价带费米能级,它们都是局部的费米能级。称为“准费米能级” 10.陷阱中心:半导体处于热平衡状态时,无论是施主、受主、复合中心或是任何其他的杂质能级上,都 具有一定数目的电子,它们由平衡时的费米能级及分布函数所决定。实际上,能级中的电子是通过载流子的俘获和产生过程与载流子之间保持着平衡的。当半导体处于非平衡状态,出现非平衡载流子时,这种平衡遭到破坏,必然引起杂质能级上电子数目的改变。如果电子增加,说明能级具有收容部分非平衡电子的作用,若是电子减少,则可以看成能级具有收容空穴的作用。杂质能级的这种积累非平衡载流子的作用称为陷阱效应,把有显著陷阱效应的杂质能级称为陷阱,相应的杂质和缺陷为陷阱中心 11.理想pn结模型: a 小注入条件——注入的少数载流子浓度比平衡多数载流子浓度小的多 b 突变耗尽条件——外加电压和接触电势差都降落在耗尽层上,耗尽层中的电荷由电离施主和电离受主的电荷组成。耗尽层外的半导体是电中性的。因此,注入的少数载流子在p区和n区是纯扩散运动 c 通过耗尽层的电子和空穴电流是常量,不考虑耗尽层中载流子的产生及复合作用 d 玻耳兹曼边界条件——在耗尽层两端。载流子分布满足玻耳兹曼统计分布

相关主题