搜档网
当前位置:搜档网 › 十字路口带倒计时显示的交通信号灯控制设计

十字路口带倒计时显示的交通信号灯控制设计

十字路口带倒计时显示的交通信号灯控制设计
十字路口带倒计时显示的交通信号灯控制设计

十字路口带倒计时显示的交通信号灯控制设计

目录

第1章简述 (3)

1.1可编程逻辑控制器PLC简述 (3)

1.2PLC基本结构 (4)

1.3可编程序控制器(PLC)三菱FX2N—48MR (5)

1.4PLC的工作原理 (5)

1.5PLC发展趋势 (6)

第2章 (7)

2.1.控制要求 (7)

2.2设计任务 (7)

2.3.信号灯动作时序图 (8)

2.4.十字路口交通灯控制信号说明 (8)

第3章 (9)

3.1.PLC外部接线图设计 (9)

3.2PLC控制程序设计 (10)

3.2.1.综述 (10)

3.2.2.交通信号灯控制的梯形图程序 (11)

3.2.3程序指令设计 (13)

第四章心得体会 (16)

第五章参考文献 (16)

十字路口带倒计时显示的交通信号灯控制的课程设计

第1章简述

1.1可编程逻辑控制器PLC简述

自二十世纪六十年代美国推出可编程逻辑控制器(Programmable Logic Controller,PLC)取代传统继电器控制装置以来,PLC得到了快速发展,在世界各地得到了广泛应用。同时,PLC的功能也不断完善。随着计算机技术、信号处理技术、控制技术网络技术的不断发展和用户需求的不断提高,PLC在开关量处理的基础上增加了模拟量处理和运动控制等功能。今天的PLC不再局限于逻辑控制,在运动控制、过程控制等领域也发挥着十分重要的作用。

作为离散控的制的首选产品,PLC在二十世纪八十年代至九十年代得到了迅速发展,世界范围内的PLC年增长率保持为20%~30%。随着工厂自动化程度的不断提高和PLC市场容量基数的不断扩大,近年来PLC在工业发达国家的增长速度放缓。但是,在中国等发展中国家PLC的增长十分迅速。综合相关资料,2004年全球PLC的销售收入为100亿美元左右,在自动化领域占据着十分重要的位置。

PLC是由摸仿原继电器控制原理发展起来的,二十世纪七十年代的PLC只有开关量逻辑控制,首先应用的是汽车制造行业。它以存储执行逻辑运算、顺序控制、定时、计数和运算等操作的指令;并通过数字输入和输出操作,来控制各类机械或生产过程。用户编制的控制程序表达了生产过程的工艺要求,并事先存入PLC的用户程序存储器中。运行时按存储程序的内容逐条执行,以完成工艺流程要求的操作。PLC的CPU内有指示程序步存储地址的程序计数器,在程序运行过程中,每执行一步该计数器自动加1,程序从起始步(步序号为零)起依次执行到最终步(通常为END指令),然后再返回起始步循环运算。PLC每完成一次循环操作所需的时间称为一个扫描周期。不同型号的PLC,循环扫描周期在1微秒到几十微秒之间。PLC用梯形图编程,在解算逻辑方面,表现出快速的优点,在微秒量级,解算1K逻辑程序不到1毫秒。它把所有的输入都当成开关量来处理,16位(也有32位的)为一个模拟量。大型PLC使用另外一个CPU来完成模拟量的运算。把计算结果送给PLC的控制器。

相同I/O点数的系统,用PLC比用DCS,其成本要低一些(大约能省40%左右)。PLC没有专用操作站,它用的软件和硬件都是通用的,所以维护成本比DCS

要低很多。一个PLC的控制器,可以接收几千个I/O点(最多可达8000多个I/O)。如果被控对象主要是设备连锁、回路很少,采用PLC较为合适。PLC由于采用通用监控软件,在设计企业的管理信息系统方面,要容易一些。

近10年来,随着PLC价格的不断降低和用户需求的不断扩大,越来越多的中小设备开始采用PLC进行控制,PLC在我国的应用增长十分迅速。随着中国经济的高速发展和基础自动化水平的不断提高,今后一段时期内PLC在我国仍将保持高速增长势头。

通用PLC应用于专用设备时可以认为它就是一个嵌入式控制器,但PLC相对一般嵌入式控制器而方具有更高的可靠性和更好的稳定性。实际工作中碰到的一些用户原来采用嵌入式控制器,现在正逐步用通用PLC或定制PLC取代嵌入式控制器。

1.2PLC的基本结构

PLC实质是一种专用于工业控制的计算机,其硬件结构基本上与微型计算机相同.

a. 中央处理单元(CPU)

中央处理单元(CPU)是PLC的控制中枢。它按照PLC系统程序赋予的功能接收并存储从编程器键入的用户程序和数据;检查电源、存储器、I/O以及警戒定时器的状态,并能诊断用户程序中的语法错误。当PLC投入运行时,首先它以扫描的方式接收现场各输入装置的状态和数据,并分别存入I/O映象区,然后从用户程序存储器中逐条读取用户程序,经过命令解释后按指令的规定执行逻辑或算数运算的结果送入I/O映象区或数据寄存器内。等所有的用户程序执行完毕之后,最后将I/O映象区的各输出状态或输出寄存器内的数据传送到相应的输出装置,如此循环运行,直到停止运行。

为了进一步提高PLC的可*性,近年来对大型PLC还采用双CPU构成冗余系统,或采用三CPU的表决式系统。这样,即使某个CPU出现故障,整个系统仍能正常运行。

b、存储器

存放系统软件的存储器称为系统程序存储器。

存放应用软件的存储器称为用户程序存储器。

C、电源

PLC的电源在整个系统中起着十分重要得作用。如果没有一个良好的、可*得电源系统是无法正常工作的,因此PLC的制造商对电源的设计和制造也十分重视。一般交流电压波动在+10%(+15%)范围内,可以不采取其它措施而将PLC直接

连接到交流电网上去。

1.3. 可编程序控制器(PLC)三菱FX2N—48MR

该可编程序控制器是由电源+CPU+输入输出+程序存储器(RAM)的单元型可编程序控制器。其主机称为基本单元,为主机备有可扩展其输入输出点的“扩展单元(电源+I/O)”和“扩展模块(I/O)”,此外,还可连接扩展设备,用于特殊控制。PLC教学实验系统:

PLC教学实验系统由实验装置、PLC、微机三部分构成。

微机用于编程、提供界面,使编程、调试更加方便。

PLC教学实验系统流程:

分析被控对象编程输入程序连接实验线路运行PLC程序(运行实验辅助程序)观察现象。

设备连接:

首先将通讯电缆(SC—09)的9芯型插头插入微机的串行口插座(以下假定为端口2,此工作由实验室完成),再将通讯电缆的圆形插头插入编程插座,打开开关即可工作。

1.4PLC的工作原理

一. 扫描技术

当PLC投入运行后,其工作过程一般分为三个阶段,即输入采样、用户程序执行和输出刷新三个阶段。完成上述三个阶段称作一个扫描周期。在整个运行期间,PLC的CPU以一定的扫描速度重复执行上述三个阶段。

(一) 输入采样阶段

在输入采样阶段,PLC以扫描方式依次地读入所有输入状态和数据,并将它们存入I/O映象区中的相应得单元内。输入采样结束后,转入用户程序执行和输出刷新阶段。在这两个阶段中,即使输入状态和数据发生变化,I/O映象区中的相应单元的状态和数据也不会改变。因此,如果输入是脉冲信号,则该脉冲信号

的宽度必须大于一个扫描周期,才能保证在任何情况下,该输入均能被读入。(二) 用户程序执行阶段

在用户程序执行阶段,PLC总是按由上而下的顺序依次地扫描用户程序(梯形图)。在扫描每一条梯形图时,又总是先扫描梯形图左边的由各触点构成的控制线路,并按先左后右、先上后下的顺序对由触点构成的控制线路进行逻辑运算,然后根据逻辑运算的结果,刷新该逻辑线圈在系统RAM存储区中对应位的状态;或者刷新该输出线圈在I/O映象区中对应位的状态;或者确定是否要执行该梯形图所规定的特殊功能指令。

即,在用户程序执行过程中,只有输入点在I/O映象区内的状态和数据不会发生变化,而其他输出点和软设备在I/O映象区或系统RAM存储区内的状态和数据都有可能发生变化,而且排在上面的梯形图,其程序执行结果会对排在下面的凡是用到这些线圈或数据的梯形图起作用;相反,排在下面的梯形图,其被刷新的逻辑线圈的状态或数据只能到下一个扫描周期才能对排在其上面的程序起作用。

(三) 输出刷新阶段

当扫描用户程序结束后,PLC就进入输出刷新阶段。在此期间,CPU按照I/O 映象区内对应的状态和数据刷新所有的输出锁存电路,再经输出电路驱动相应的外设。这时,才是PLC的真正输出。

同样的若干条梯形图,其排列次序不同,执行的结果也不同。另外,采用扫描用户程序的运行结果与继电器控制装置的硬逻辑并行运行的结果有所区别。当然,如果扫描周期所占用的时间对整个运行来说可以忽略,那么二者之间就没有什么区别了。

一般来说,PLC的扫描周期包括自诊断、通讯等,如下图所示,即一个扫描周期等于自诊断、通讯、输入采样、用户程序执行、输出刷新等所有时间的总和。

1.5PLC发展趋势

21世纪,PLC会有更大的发展。从技术上看,计算机技术的新成果会更多地应用于可编程控制器的设计和制造上,会有运算速度更快、存储容量更大、智能更强的品种出现;从产品规模上看,会进一步向超小型及超大型方向发展;从产品的配套性上看,产品的品种会更丰富、规格更齐全,完美的人机界面、完备的通信设备会更好地适应各种工业控制场合的需求;从市场上看,各国各自生产多品种产品的情况会随着国际竞争的加剧而打破,会出现少数几个品牌垄断国际市场的局面,会出现国际通用的编程语言;从网络的发展情况来看,可编程控制器

和其它工业控制计算机组网构成大型的控制系统是可编程控制器技术的发展方向。目前的计算机集散控制系统DCS(Distributed Control System)中已有大量的可编程控制器应用。伴随着计算机网络的发展,可编程控制器作为自动化控制网络和国际通用网络的重要组成部分,将在工业及工业以外的众多领域发挥越来越大的作用。

第2章方案设计

2.1.控制要求

采用PLC构成十字路口带倒计时显示的南北向和东西向交通信号灯的电气控制。系统上电后,交通指挥信号控制系统由由一个3位转换开关SA1控制。SA1手柄指向左45o时,接点SA1-1接通,交通指挥系统开始按常规正常控制功能工作,按照如图11-9所示工作时序周而复始,循环往复工作。正常运行时,南北向及东西向均有两位数码管倒计时显示牌同时显示相应的指示灯剩余时间值。SA1手柄指向中间0o时,接点SA1-2接通,交通指挥系统南北向绿灯常亮,东西向红灯常亮,数码管显示99不变。SA1手柄指向右45o时,接点SA1-3接通,交通指挥系统东西向绿灯常亮,南北向红灯常亮,数码管显示99不变。控制信号说明见表1。

2.2设计任务

1) 根据控制要求,进行电气控制系统硬件电路设计,包括PLC硬件配置电路。

2) 根据控制要求,编制交通信号灯PLC控制程序。

3) 编写设计说明书,内容包括:

① 设计过程和有关说明。

② 基于PLC的十字路口交通灯电气控制电路图。

③ PLC控制程序(梯形图和指令表)。

④ 电器元器件的选择。

2.3.信号灯动作时序图

如图所示,它是按信号灯置1与置0两种状态绘制的,置1表示信号灯点亮。按启动按钮SB1后,南北向红灯亮,东西向绿灯亮,25s后东西向绿灯闪烁3s(3次,每次亮、暗0.5s),然后熄灭。在绿灯熄灭的同时,东西向黄灯亮2s,然后熄灭。在该灯熄灭的同时,东西向红灯亮及南北向绿灯亮,以下的变化规律与上述相同。到南北向绿灯亮,东西向红灯亮开始第二个循环,以后周而复始地循环动作。按停止按钮SB2,所有信号灯熄灭。

图1 十字路口交通灯正常工作时序

2.4.十字路口交通灯控制信号说明

表1 十字路口交通灯控制信号说明

第3章

3.1.PLC外部接线图设计

根据信号灯控制要求,I/O分配及接线图如图2所示。

图2 PLC外部接线图

3.2PLC控制程序设计

3.2.1.综述

随着城市和经济的发展,交通信号灯发挥的作用越来越大,正因为有了交通信号灯,才使车流、人流有了规范,同时,减少了交通事故发生的概率。然而,交通信号灯不合理使用或设置,也会影响交通的顺畅。

交通信号灯由红灯、绿灯、黄灯组成。红灯表示禁止通行,绿灯表示准许通行,黄灯表示警示。交通信号灯分为机动车信号灯、非机动车信号灯、人行横道信号灯、车道信号灯、方向指示信号灯、闪光警告信号灯、道路与铁路平面交叉道口信号灯。交通信号灯用于道路平面交叉路口,通过对车辆、行人发出行进或停止的指令,使各同时到达的人、车交通流尽可能减少相互干扰,从而提高路口的通行能力,保障路口畅通和安全。

十字路口交通信号灯现场示意图如图3-1所示,南北和东西每个方向各有红、绿、黄三种信号灯,为确保交通安全,要求如下。

正常控制时:

①当东西方向允许通行(绿灯)时,南北方向应禁止通行(红灯);同样,当南北方向允许通行(绿灯)时,东西方向应禁止通行(红灯)。

②在绿灯信号要切换为红灯信号之前,为提醒司机提前减速并刹车,应有明显的提示信号:绿灯闪烁同时黄灯亮。

③信号灯控制系统启动后应能自动循环动作。

交通灯现场示意图

3.2.2.交通信号灯控制的梯形图程序

备注:M0 初始化 Y2 南北红灯亮 M2 南北绿灯亮 M3 南北绿灯闪 M4 南北黄灯闪 M1 南北黄灯

M10 东西绿灯亮 M11 东西绿灯闪 M12 东西黄灯亮 M13 东西红灯亮 M15 运行 Y0 南北绿灯

Y3 东西绿灯

3.2.3程序指令设计

0 LD X0

1 ANI M15

2 LD M8002

3 ORB

4 LD M0

5 ORB

6 ANI MI

7 OUT M0

8 LD MO

9 AND XO

10 LD M14

11 LD M15

12 ORB

13 LD M1

14 ORB

15 ANI M2

16 OUT M1

17 OUT Y2

18 LD M1

19 AND T2

20 OR ANI

21 ANI M3

22 OUT M2

23 OUT T3 K200

24 LD M2

25 AND T3

26 OR M3

27 ANI M4

28 OUT M3

29 OUT T4

30 LD M3

32 OR M4

33 ANI M5

34 OUT M4

35 OUT T5 K20

36 OUT Y1

37 LD M4

38 AND T5

39 OR M5

40 ANI M14

41 OUT M5

42 OUT T6 K1

43 LD MO

44 AND X0

45 LD M14

46 AND M5

47 ORB

48 LD M10

49 ORB

50 ANI M11

51 OUT M10

52 OUT M10

53 LD M10

54 AND T0

55 OR M11

56 ANI M12

57 OUT M11

58 OUT T1 K30

59 LD M11

60 AND T1

61 OR M12

62 ANT M13

63 OUT M12

64 OUT T4

66 LD M12

67 AND T2

68 OR M13

69 ANI M14

70 OUT M13

71 OUT Y5

72 LD X0

73 OR M15

74 ANI X1

75 OUT M15

76 LD M5

77 AND M13

78 AND T6

79 OR M14

80 ANI M1

81 ANI M10

82 OUT M14

83 LD M3

84 AND M8013

85 OR M2

86 OUT Y0

87 LD M11

88 AND M8031

89 OR M10

90 OUT Y3

91 END

第四章心得体会

本次课程设计的作品是十字路口带倒计时显示的交通信号灯控制,通过同学们相互交流与讨论,不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。使我们对过去所学知识的掌握又进一步提高,包括单片机原理及接口技术,电气可编程控制原理与应用的知识。我们又查找了很多有关于此课程设计的资料,尤其是PLC的设计,让我们学到了很多知识,课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要

环节,是对学生实际工作能力的具体训练和考察过程。尤其重要的是通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。对于知识理解得不够深刻,掌握得不够牢固,通过这次课程设计之后,一定要把以前所学过的知识认真复习一下。

这次课程设计终于顺利完成了,在设计中遇到了很多编程与设计问题,最后在肖老师的辛勤指导下,都得到了解决,是我很好地完成了任务。最后,要谢谢老师与同学们的帮助与指导。

总之,本次课程设计使我们综合能力得到大大提高,这是一次难忘的体验,将深深影响我们。

第五章参考文献

1 王阿根. 电气控制与可编程控原理与应用. 北京:清华大学出版社,2008.

2 漆汉宏. PLC电气控制技术. 北京:机械工业出版社。2003.

3 蔡红斌. 电气与PLC控制技术. 北京:清华大学出版社,2007.

4 陈以定. 电气控制与可编程控制器. 北京:高等教育出版社2002.

4 王庭有. 可编程控制器实用技术原理及应用. 北京:国防工业出版社,2005.

6 相关网页.

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

智能交通信号灯控制系统设计

智能交通信号灯控制系 统设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。 图 1 传统双机容硬件错示意图

微机原理十字路口红绿灯闪烁实验

实验三十字路口红绿灯闪烁实验 一、实验目的 通过对红绿黄灯的控制,熟练掌握8255A接口芯片的编程方法 二、实验内容 对8255A接口芯片进行编程,使红黄绿发光二级管按照十字路口交通灯的形式点亮或者熄灭。 三、线路连接 发光二级管共8个,其中两组红黄绿灯用六个,L2、L3、L4为一组,L5、L6、L7、为一组;试验台连接如图所示。高电平时,灯点亮。 四、编程提示 1、红、黄、绿灯变化规律 设有一个十字路口,两组灯分别代表东西和南北两个方向,其红黄绿灯变化规律为: (1)两个路口红灯全亮 (2)南北路口绿灯亮,东西路口红灯亮 (3)南北路口绿灯灭,东西路口红灯亮 (4)两个路口黄灯闪烁 (5)两个路口红灯全亮 (6)东西路口绿灯亮,南北路口红灯亮 (7)东西路口绿灯灭,南北路口红灯亮

(8)两个路口黄灯闪烁 (9)转向(2)循环执行 2. 设置8255A 方式控制字 设置8255A 的端口C 工作在方式0,为输出。D0、D1控制绿灯,D2、D3控制黄灯,D4、D5控制红灯。需要点亮哪一位指示灯时,8255A 相应端口对应为就输出“1”。 五、流程图 Y N 两个路口红灯亮 延时 南北路口绿灯亮,东西路口红灯亮 有键按下? 延时 南北路口绿灯灭 两个路口黄灯闪烁 两个路口红灯亮 延时 显示提示信息 东西路口绿灯灭 读端口C 数据并保存 两个路口红灯亮 延时 设控制字为80H(端口C 均为输出) 东西路口绿灯亮,南北路口红灯亮 延时 两个路口黄闪烁 设控制字为81H(端口C 低四位为输入) 读/写 结束 开始

六、实验程序 DATA SEGMENT IOPORT EQU 0D880H-280H IO8255C EQU IOPORT+282H IO8255T EQU IOPORT+283H PB DB ? MESS DB 'ENTER ANY KEY CAN EXIT TO DOS!',0DH,0AH,'$' DATA ENDS STACK SEGMENT STACK STA DW 50 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,ES:DATA,SS:STACK START: MOV AX,DATA MOV DS,AX MOV ES,AX MOV AH,09H MOV DX,OFFSET MESS INT 21H MOV DX,IO8255T MOV AL,81H OUT DX,AL MOV DX,IO8255C IN AL,DX MOV PB,AL MOV DX,IO8255T MOV AL,80H OUT DX,AL MOV DX,IO8255C MOV AL,PB OR AL,0CH OUT DX,AL MOV AL,30H OUT DX,AL CALL DELAY10 LLL: MOV DX,IO8255C MOV AL,12H OUT DX,AL CALL DELAY10 CALL DELAY10 CALL DELAY10 CALL DELAY10

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

十字路口交通信号灯设计 数字电路

毕业设计说明书(论文) 课题名称:十字路口交通信号灯控制电路设计 航空电子设备维修专业 081331班 学生姓名:张林瑶学号 01 指导老师:姚卫华技术职称______________ 2011年 3 月 19 日

毕业设计(论文)任务书 学生姓名:张林瑶班级:081331 1.毕业设计(论文)题目:十字路口交通信号灯控制电路设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求: 1、数字电子技术基础简明教程; 2、集成电子技术基础; 3、电路与数字逻辑设计实践 十字交叉路口分纵、横两组信号灯控制,每组有红、绿、黄三色信号灯显示。各干道亮灯顺序为:红—>绿—>黄—>红—>绿……,红灯、黄灯、绿灯的亮灯时间分别为35S、5S、30S。(黄灯5S为闪烁),分别用2位(共4位)LED显示纵、横两路的绿灯亮时间。 3.毕业设计(论文)工作内容及完成时间: 本设计通过对秒信号源产生电路,延时电路,状态控制电路,倒计时计数电路,译码、驱动、显示电路等单元电路的设计完成控制电路的设计。日期:自2010年12月15日至2011年3月19日 指导老师评语: ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________ 指导老师:_______________ 系主任:____________

交通信号灯控制系统课程设计报告

单片机原理及应用 课程设计报告 设计题目:交通信号灯控制系统 班级:11级电气三班 指导教师:曹琳琳 学生姓名:张杰

哈尔滨远东理工学院机器人科学与技术学院 2013年5月30日 交通信号灯控制系统 [摘要]: 随着经济发展, 汽车数量急剧增加, 城市道路日渐拥挤, 交通拥塞已成为一个国际性的问题。因此, 设计可靠、安全、便捷的多功能交通灯控制系统有极大的现实必要性。设计中包括硬件电路的设计和程序设计两大步骤,对单片机学习中的几个重要内容都有涉足。对单片机初学者一定的帮助, 同时, 设计也可运用于简单的实时交通灯控制, 具有一定的现实意义。特别是街道各十字路倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全, 防止交通阻塞, 使城市交通井然有序, 交通信号灯在大多数城市得到了广泛应用。传统的交通信号灯控制一般采用电子线路和继电器控制, 结构复杂, 可靠性低, 故障率高, 因此研究计算机与自动控制技术, 设计新型的交通灯控制系统, 对缓解交通阻塞, 提高畅通率具有十分现实的意义。以下通过介绍一种基于8 0 51的交通灯控制系统, 东西、南北的通行时间可调, 能倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。 [关键词]:交通灯; 单片机; 自动控制; 程序设计;倒计时; 急车强通控制; 异常状况判别及处理。 1、交通灯系统工作原理及设计方案 1.1交通灯工作原理 采用单片机I/ O口P1直接和交通灯连接, 控制程序放在8051单片机ROM中。十字路口4组红、黄、绿交通灯中, 东西方向的交通灯工作状态相同, 可以用同一组I/O控制; 南北方向的交通灯工作状态也相同,也可以用同一组I/O控制,所以只需要用到单片机的P1.0到P1. 5控制。由于交通灯为发光二极管且阳极通过限流电阻和电源正极连接, 因此I/O输出低电

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明 一、操作面板示意图: 二、修改程序的基本步骤: 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 步骤1、按住“显示程序”键,听毕 “啼”音后进入程序修改操作; 步骤2、显示[-0 0·7 00] 步骤3、显示[- 0 02·02 设定第一段程序开始运行的时间,按数字下 面相对应的“减”或“加”来调整时分。 显示内容说明:当前显示的是“-0 0.7 00” “-0”的含义指的是当前设定的是第一段程 序。“07 00”的含义是指时间,在以下三个 步骤中设定的程序将在凌晨7点钟开始运 行。用“·”的位置指示当操作步骤的进度, 在以下几个步骤中“·”点的位置往后移。 设定干线与支线左转弯绿灯时间,按加减来 调整干线或支线左转弯绿灯时间,注意:调 整为02.02则控制器工作于两相位模式。 步骤4、显示[- 0 2 5 2·5] 设定参数,一般不需修改,如需修改按数字 下面相对应的按键。第一位”2”代表黄灯过渡 到红灯时红灯持续时间为2秒,第二位”2” 代表绿灯过渡到黄灯时黄灯持续时间为2 秒,第三位”5”代表绿闪次数5次,第四位数 是右转弯绿灯的运行模式。 步骤5、显示[- 0 2 2 5 8·] 设定干线与支线直线绿灯时间,左边的两位 数是干线的,右边的两位数是支线的,按数 字相对应的“减”或“加”来调整绿灯时间。

三、修改多时段程序的步骤: 在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1初始化出厂设置如下:(四相位设置:直线先行)

十字路口红绿灯规则

十字路口下车会被处罚吗? 没罚单没拍照就没事,破哨子没事,我上次在市区跑,挂的假牌,133,走到红灯,一看有交警,利马变更车道,右转了,破哨子嗷嗷的叫,我都没理。 按交通法规定: 开关车门不得妨碍其他车辆和行人通行,你在行车道上开关车门,肯定算是交通违法行为。不过一般的摄像头拍不到,除非是人工值守的摄像头和交警当场查处。所以下次注意就行了 停车就已经违反交规了~~ 驾车行经交叉路口不按规定行车或者停车的,一次记2分。 拍照是电子探头来拍照不过好多路段是路面监控你需要辨识下那些是探头哪些是监控这样开起来才心中有数 要是被拍到了就是2分200块钱 老交通法中规定,距离路口50米内不允许停车,停车罚款100元,扣1分。新交通法规定,距路口100米内不允许停车,停车罚款100--200之间,扣2分。 十字路口通行规则 首先红灯停绿灯行是大前提。如果红绿灯都是带有方向箭头指示的,就必须严格按照本车道所行进方向的灯号控制来通行;如果红绿灯都是满屏圆形灯号,绿灯时就直行和左转右转均可通行,红灯时左转和直行都不能通行,但是可以右转(除非路口有“红灯时禁止右转”警示标示)。 如果是左转右转时间问题,你可以找个会开的坐在你副驾,你边开变知道,开车没有纸上谈兵的,没效果,开几天就好很多。还有现在黄灯的时候也别穿停车线,看到黄灯了,就刹车把,哪怕停在停车线前面也不要紧;如果是绿灯的时候过了停车线,那还是可以过去的。转弯么先看车道,变对车道,在看灯,有些路口有转弯灯的,那比较好办,没转弯灯的,左转要先让对面直

行,右转时,如果是你这个方向绿灯,那当心右边的非机动车和行人,如果是横向马路绿灯,那要当心左边过来的车,基本还是要让直行的。 正常的圆灯信号,信号灯时红的时候,可以右转,但是必须保证你自身的安全情况下,靠马路的最右侧,注意车辆,这个时候直行不可以,左转也不可以,绿灯的时候,直行,左转,右转都可以,一定要注意车辆,在实线的地方不能宾道,一定在虚线区完成你要走的路线,一定要按照规定路线行驶。祝您出行安全,愉快,希望能帮到您。国信号灯的时候一定按照要求做,绿灯的时候行驶,红灯的时候停止。按照路线行驶,直行是绿灯的时候,左转是红灯,这个时候只能直行,不可以左转。右转正常就可以了,红灯停止,绿灯通行。看好国际信号的指示灯。 1。遇到红灯时车(包括自行车摩托车电动车)不能直行,也不能左转,但可以右转通行(不管在那个路口,右转不受红绿灯限制)。2。遇到绿灯时车可以直行,也可以左转右转(特别注意红绿灯上面的指示方向箭头)。3。方向灯为绿灯时是可以向红绿灯上面的指示方向箭头方向行驶。4。方向灯为红灯时是不可以向红绿灯上面的指示方向箭头方向行驶。红灯时,是不许左转弯的。 如果是国际信号,它会显示左转绿灯,可以左转弯。红灯时是可以右转弯的。但有很少一部分地方要按右转弯指示灯右转。道路交通安全法实施条例第三十八条机动车信号灯和非机动车信号灯表示: (一)绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行; (二)黄灯亮时,已越过停止线的车辆可以继续通行; (三)红灯亮时,禁止车辆通行。在未设置非机动车信号灯和人行横道信号灯的路口,非机动车和行人应当按照机动车信号灯的表示通行。红灯亮时,右转弯的车辆在不妨碍被放行的车辆、行人通行的情况下,可以通行。第三十九条人行横道信号灯表示: (一)绿灯亮时,准许行人通过人行横道;

课程设计报告——交通信号灯控制器

目录 一、设计背景 (22) 二、任务和要求 (22) 三、总体设计方案 (33) 1.状态设置 (33) 2.系统框图 (33) a.系统总框图 (33) b.系统状态转换框图 (44) 四、单元电路设计 (44) 1.倒计时电路(定时电路) (44) 2.状态控制器(主控电路) (55) 3.交通灯显示电路、 (55) 4.数码管显示 (66) 5.置数变换电路 (66) 6.流量控制电路 (77) 五、总电路原理图 (88) 六、总电路图 (99) 七、原件清单 (1010) 八、电路连接与调试 (1010) 九、设计总结 (1010) 十、参考文献 (1111)

一、 设计背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 二、任务和要求 红绿灯交通信号系统外观示意图如图1所示。 1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上(主干道)绿灯亮的时间是45s ,另一个方向上(支干到)绿灯亮的时间是25s ,黄灯亮的的时间都是5s 。 3.要求加装一流量控制电路,使电路拥有流量控制功能,既:当一条路上无车,另一条路上有车时,这条路上的绿灯长亮,另一条路上红灯长亮。

三、总体设计方案 1.状态设置 状态1(00):主车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。 状态2(01):主车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行; 状态3(10):支车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行; 状态4(11):支车道的红灯亮,车道,人行道禁止通行;南北方向车的黄灯亮,车道,人行道缓行; 2.系统框图 a.系统总框图 流量控制电路

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯及控制系统设备安装与施工详解

交通信号灯及控制系统设备安装与施工详解 交通信号系统包括机箱、灯杆、SCATS检测线圈、电缆与电线、取电电源、防雷与接地、管井与管道等设施设备,下面介绍各个部分的材料、安装要求和施工工序。 机箱 1.信号机箱无特殊情况时一般安装在路口的西南角。 2.信号机箱的安装应考虑设置在人行横道上视野宽阔、不妨碍行人及车辆通行、能观察到交叉口的交通状况和信号灯的变化状况、并能容易驳接电源的地点。 3.信号机箱的基础位置与人行横道的路缘距离应在50~100cm,与路缘平行,基础高于地面20cm,平面尺寸应和信号机箱底座尺寸一致,地面以下的水泥钢筋基础至少70cm 深。 4.在有可能积水的地面安装信号机箱时,应适当增加基础高度,防止信号机被积水淹没。 5.信号机箱安装完毕后,应将机箱底部的接线孔用填充物密封,防止潮气侵蚀。 6.信号机箱安装时,保护接地线、避雷器接地线的接地施工应符合GB50169《电气装置安装工程接地装置施工及验收规范》的规定;接地完毕,测量信号机箱接地电阻小于4Ω。 灯杆 灯杆制作 1.信号灯杆所属的立柱、法兰盘、地脚螺栓、螺母、垫片、加强筋等金属构件及悬臂、支撑臂、拉杆、抱箍座、夹板等附件的防腐性能应符合GB/T18226《高速公路交通工程钢构件防腐技术条件》的规定。 2.信号灯杆应采用圆形或多棱形经热镀锌处理的钢管制造。 3.信号灯杆安装前须经过防锈处理,底层喷涂富锌防锈底漆,外层喷涂银灰色瓷漆。 4.机动车立柱式灯杆距路面约350mm 处留有拉线孔和拉线孔门,人行道和非机动立柱式灯杆距路面约300mm 处留有拉线孔和拉线孔门。 5.立柱式灯杆拉线孔门应设有防盗措施,孔内设置接地端子座,以便接驳地线。 6.立柱式灯杆顶部安装灯具处应留有出线孔,并配备橡胶护套、电缆线回水弯挂钩,灯杆顶部应安装塑料或经防腐处理的内套式金属防水管帽。 7.悬臂式灯杆悬臂杆与支撑杆使用圆形或多棱形的变截面型材制作,悬臂与灯杆连接端宜焊接固定法兰盘,悬臂下应留有进线孔和出线孔。 8.悬臂式灯杆拉杆宜使用圆钢制作,一端配有可调距离的螺旋扣,直径和长度根据悬臂长度确定。 9.信号灯杆杆体底部应焊接固定法兰盘,法兰盘与杆体之间应均匀焊接加强筋。 灯杆安装 1.悬臂式灯杆支撑臂使用抱箍、抱箍座与灯杆连接固定;拉杆与灯杆、拉杆与悬臂、支撑臂与悬臂可使用夹板连接固定;安装时使用的固定螺栓、螺母、垫圈应使用热镀锌件并用弹簧垫圈压紧。 2.紧固标准件全部采用不锈钢材料。 3.信号灯杆安装应保证杆体垂直,倾斜度不得超过±0.5%。 4.信号灯杆安装应有足够的强度,能抵抗12 级大风或者一般移动物体的撞击。 5.信号灯杆保护接地电阻应小于4Ω。 SCATS检测线圈 材料要求

带倒计时显示的十字路口交通信号灯控制 课设plc

电气工程学院 课程设计说明书 设计题目:十字路口带倒计时显示交通信号灯控制系统系别:电气工程及其自动化系 年级专业: 学号: 学生姓名: 指导教师:

电气工程学院《课程设计》任务书 课程名称:电气控制与PLC课程设计 基层教学单位:电气工程及自动化系指导教师:郭忠南等学号学生姓名(专业)班级 设计题目十字路口带倒计时显示交通信号灯控制系统 设 计 技术参数采用PLC构成十字路口带倒计时显示的南北向和东西向交通信号灯的电气控制。控制要求查阅相关文献。 设计要求1) 根据控制要求,进行电气控制系统硬件电路设计,包括PLC硬件配置电路。 2) 根据控制要求,编制PLC控制程序 3) 按要求编写设计说明书并绘制A1幅面图纸一张。 参考资料1、《PLC电气控制技术》漆汉宏主编机械工业出版社 2008 2、图书馆各类期刊文献相关数据库 3、相关电气设计手册 周次第一周第二周 应完成内容完成全部方案设计: 周一、二:查、阅相关参考资料 周二至周五:方案设计 周六、日:设计方案完善 周一、二:完成设计说明书 周三、四:绘制A1设计图纸 周五:答辩考核 指导教师签字基层教学单位主任签字

燕山大学PLC课程设计报告书 摘要 本文采用三菱FX2N-MR80的可编程控制器,通过对交通信号灯控制时序要求的分析以及对PLC硬件电路及梯形图的设计,完成十字路口带倒计时显示交通信号等控制系统。对于顺序控制,因为步进指令具有条理清楚、编程方便、直观、易于实现等特点,本文以三菱PLC指令系统的步进指令控制交通信号灯,并列出了步进梯形指令的状态转移图、梯形图以及指令表。该系统可完成十字路口交通信号灯的启停、自动循环工作、手动东西交通信号灯常绿以及手动南北交通信号灯常绿,并用数码管显示交通灯显示剩余时间倒计时。 关键词: PLC 交通灯步进指令倒计时

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

相关主题