搜档网
当前位置:搜档网 › 数字电子技术讲解

数字电子技术讲解

数字电子技术讲解
数字电子技术讲解

第1章 绪论

1.1 概述

学习目标:了解数字电路的特点、应用概况; 熟悉逻辑电平、数字信号的概念;了解数字电

路的优点;了解脉冲波形的主要参数。

教学重点:区分数字信号和模拟信号的区别 课时分配:2学时 教学过程:

1.1.1数字信号和数字电路

信号分为两类:模拟信号、数字信号

模拟信号:指在时间上和数值上都是连续变化的信号。如电视图像和伴音信号。

数字信号:指在时间上和数值上都是断续变化的离散信号。如生产中自动记录零件个数的计数信号。 模拟电路:对模拟信号进行传输和处理的电路 数字电路:对数字信号进行传输和处理的电路

t

u

模拟信号图

u

t

数字信号图

1.1.2数字电路的分类

(1)按集成度分类:数字电路可分为小规模(SSI ,每片数十器件)、中规模(MSI ,每片数百器件)、大规模(LSI ,每片数千器件)和超大规模(VLSI ,每片器件数目大于1万)数字集成电路。集成电路从应用的角度又可分为通用型和专用型两大类型。

(2)按所用器件制作工艺的不同:数字电路可分为双极型(TTL 型)和单极型(MOS 型)两类。 (3)按照电路的结构和工作原理的不同:数字电路可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路没有记忆功能,其输出信号只与当时的输入信号有关,而与电路以前的状态无关。时序逻辑电路具有记忆功能,其输出信号不仅和当时的输入信号有关,而且与电路以前的状态有关。

数字电路的产生和发展是电子技术发展最重要的基础。由于数字电路相对于模拟电路有一系列的优点,使它在通信、电子计算机、电视雷达、自动控制、电子测量仪器等科学领域得到广泛的应用,对现代科学、工业、农业、医学、社会和人类的文明产生着越来越深刻地影响。 1.1.3数字电路的优点和特点

特点:

(1)工作信号是二进制的数字信号,在时间上和数值上是离散的(不连续),反映在电路上就是低电平和高电平两种状态(即0和1两个逻辑值)。

(2)在数字电路中,研究的主要问题是电路的逻辑功能,即输入信号的状态(0和1)和输出信号的状态(0和1)之间的关系。对于电路本身有分析电路和设计电路两部分。

(3)对组成数字电路的元器件的精度要求不高,只要在工作时能够可靠地区分0和1两种状态即可。

(4)数字电路的分析方法主要用逻辑代数和卡诺图法等进行分析。

(5)数字电路能够对数字信号0和1进行各种逻辑运算和算术运算。

优点:

(1)易集成化。两个状态“0”和“1”,对元件精度要求低。

(2)抗干扰能力强,可靠性高。信号易辨别不易受噪声干扰。

(3)便于长期存贮。软盘、硬盘、光盘。

(4)通用性强,成本低,系列多。(国际标准)TTL系例数字电路、门阵列、可编程逻辑器件。

(5)保密性好。容易进行加密处理。

知识拓展脉冲波形的主要参数

在数字电路中,加工和处理的都是脉冲波形,而应用最多的是矩形脉冲。

图1.1.2 脉冲波形的参数

(1)脉冲幅度。脉冲电压波形变化的最大值,单位为伏(V)。

(2)脉冲上升时间。脉冲波形从0.1Um上升到0.9Um所需的时间。

(3)脉冲下降时间。脉冲波形从0.9Um下降到0.1Um所需的时间。

脉冲上升时间tr 和下降时间tf 越短,越接近于理想的短形脉冲。单位为秒(s)、毫秒(ms)、微秒

( us)、纳秒(ns)。

(4)脉冲宽度。脉冲上升沿0.5Um 到下降沿0.5Um 所需的时间,单位和 tr、tf 相同

(5)脉冲周期T。在周期性脉冲中,相邻两个脉冲波形重复出现所需的时间,单位和tr 、tf 相同。

(6)脉冲频率f:每秒时间内,脉冲出现的次数。单位为赫兹(Hz)、千赫兹(kHz)、兆赫兹(MHz),

f =1∕T。

(7)占空比q:脉冲宽度与脉冲重复周期T的比值。q =∕T。

它是描述脉冲波形疏密的参数。

(8)

本节小结:

数字信号的数值相对于时间的变化过程是跳变的、间断性的。对数字信号进行传输、处理的电子线路称

为数字电路。模拟信号通过模数转换后变成数字信号,即可用数字电路进行传输、处理。

习题: P3 思考题4

1.2 数制和码制

教学目标:理解进制的概念,二进制的表示方法。掌握二进制数、十进制数、八进制、十六进制数之间的相互转换方法。理解BCD 码的含义,理解8421BCD 码,了解其他

常用BCD 码。

教学重点:掌握二进制数、十进制数、八进制数、十六进制数之间相互转换方法。

教学难点:掌握二进制数、十进制数、八进制数、十六进制数之间相互转换方法。

课时分配:4学时

教学过程:

1.2数制和码制

1.2.1数制

所谓数制就是计数的方法。在生产实践中,人们经常采用位置计数法,即将表示数字的数码从左至右排列

起来。常见的有十进制、二进制、十六进制。

1.进位制:表示数时,仅用一位数码往往不够用,必须用进位计数的方法组成多位数码。多位数码每一

位的构成以及从低位到高位的进位规则称为进位计数制,简称进位制。

2.基数:进位制的基数,就是在该进位制中可能用到的数码个数。

3.位权(位的权数):在某一进位制的数中,每一位的大小都对应着该位上的数码乘上一个固定的数,这个固定的数就是这一位的权数。权数是一个幂。

(1)十进制

十进制数是日常生活中使用最广的计数制。组成十进制数的符号有0,1,2,3,4,5,6,7,8,9等共

十个符号,我们称这些符号为数码。

在十进制中,每一位有0~9共十个数码,所以计数的基数为10。超过9就必须用多位数来表示。十进制数的运算遵循加法时:“逢十进一”,减法时:“借一当十”。

十进制数中,数码的位置不同,所表示的值就不相同。如: 5555表示5*1000+5*100+5*10+5

也可表示成5*103

+5*102

+5*101

+5*100

同样的数码在不同的数位上代表的数值不同。103、102、101、100称为十进制的权。各数位的权是10的幂。任意一个十进制数都可以表示为各个数位上的数码与其对应的权的乘积之和,称权展开式。如:(209.04)10=2×102

+0×101

+9×100

+0×10-1

+4×10-2

对于位一十进制数可表示为:

∑------------?=

?++?+?+?+?++?+?=1

2211001122111010

10101010101010n m

i

i

m

m n n n n a a a a a a a a N 式中:i

a 为0~9中的位一数码;10为进制的基数;10的i 次为第i 位的权;m,n 为正整数,n 为整数部分的位数,m 为小数部分的位数。

(2)二进制

二进制的数码K 为0、1,基数R=2。 进/借位的规则为逢2进1,借1当2, 位权为2的整数幂。

其计算公式为:

()∑--=?=

1

2

2n m

i i

i

K

N

如:(101.01)2= 1×22

+0×21

+1×20

+0×2-1

+1 ×2-2

=(5.25)10

由于二进制数只有0和1两个数码,它的每一位都可以用电子元件来实现,且运算规则简单,相应的运算电路也容易实现。

加法和乘法的运算规则

(3)十六进制二进制数在计算机系统中处理很方便,但当位数较多时,比较难记忆,而且书写容易出错,为了减小位数,通常将二进制数用十六进制表示。

十六进制是计算机系统中除二进制数之外使用较多的进制,其遵循的两个规则为:

其有0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F等共十六个数码,其分别对应于十进制数的0~15进制之间的相互转换。

运算规则:逢16进1。

位权为16的整数幂。

其计算公式为:

()∑-

-

=?

=1

16

16 n

m

i

i

i

K

N

如:(D8.A)

2= 13×161+8×160+10 ×16-1=(216.625)

10

二进制数和十六进制数广泛用于计算机内部的运算及表示,但人们通常是与十进制数打交道,这样在计算机的输入端就必须将十进制数转换为二进制数或十六进制数让计算机进行处理,处理的结果计算机必须将二进制数或十六进制数转换为十进制数,否则人们只能看天书了。

数制的转换可分为两类:十进制数与非十进数之间的相互转换;非十进制数之间的相互转换。

1.2.2不同数制间的转换

(1)各种数制转换成十进制

二进制、八进制、十六进制转换成十进制时,只要将它们按权展开,求出各加权系数的和,便得到相应进制数对应的十进制数。

例:

(2)十进制转换为二进制

将十进制数的整数部分转换为二进制数采用“除2取余法”;

将十进制小数部分转换为二进制数采用“乘2取整法”。

例1.1.1将十进制数(107.625)10转换成二进制数。

将十进制数的整数部分转换为二进制数采用“除2取余法”,它是将整数部分逐次被2除,依次记下余数,直到商为0。第一个余数为二进制数的最低位,最后一个余数为最高位。

解:①整数部分转换

所以,

②小数部分转换

将十进制小数部分转换为二进制数采用“乘2取整法”,它是将小数部分连续乘以2,取乘数的整数部分作

为二进制数的小数。

由此可得十进制数(107.625)10对应的二进制数为

(107.625)10=(1101011.101)2

(3)二进制与八进制、十六进制间相互转换

1)二进制和八进制间的相互转换

1二进制数转换成八进制数。

二进制数转换为八进制数的方法是:整数部分从低位开始,每三位二进制数为一组,最后不足三位的,则在高位加0补足三位为止;小数点后的二进制数则从高位开始,每三位二进制数为一组,最后不足三位的,则在低位加0补足三位,然后用对应的八进制数来代替,再按顺序排列写出对应的八进制数。

例1.1.2 将二进制数(11100101.11101011)2转换成八进制数。

(11100101.11101011)2=(345.726)8

○2八进制数转换成二进制数。

将每位八进制数用三位二进制数来代替,再按原来的顺序排列起来,便得到了相应的二进制数。

例1.1.3 将八进制数(745.361)8转换成二进制数。

(745.361)8= (111100101.011110001)2

2)二进制和十六进制间的相互转换

○1二进制数转换成十六进制数。

二进制数转换为十六进制数的方法是:整数部分从低位开始,每四位二进制数为一组,最后不足四位的,则在高位加0补足四位为止;小数部分从高位开始,每四位二进制数为一组,最后不足四位的,在低位加0补足四位,然后用对应的十六进制数来代替,再按顺序写出对应的十六进制数。

例1.1.4 将二进制数(10011111011.111011)2转换成十六进制数。

(10011111011.111011)2=(4FB.EC)16

○2十六进制数转换成二进制数。

将每位十六进制数用四位二进制数来代替,再按原来的顺序排列起来便得到了相应的二进制数。

例1.1.5 将十六进制数(3BE5.97D)16转换成二进制数。

(3BE5.97D)16=(11101111100101.100101111101)2

1.2.3 二进制代码

一、二-十进制代码

将十进制数的0~9十个数字用二进制数表示的代码,称为二-十进制码,又称BCD码。

表1.2.2 常用二-十进制代码表(重点讲解8421码、5421码和余3码)

注意:含权码的意义。

二、可靠性代码

1.格雷码表1.2.3 格雷码与二进制码关系对照表

2.奇偶校验码为了能发现和校正错误,提高设备的抗干扰能力,就需采用可靠性代码,而奇偶校验码就具有校验这种差错的能力,它由两部分组成。

表1.2.4 8421奇偶校验码

作业:P9 题1.1 题1.5

第2章逻辑代数基础

2.1 概述2.2逻辑函数及其表示法

学习目标:熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;

熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。

教学重点:三种基本逻辑运算和几种导出逻辑运算;

教学难点:三种基本逻辑运算和几种导出逻辑运算;

课时分配:4学时

教学过程:

2.1 概述

布尔:英国数学家,1941年提出变量“0”和“1”代表不同状态。

本章主要介绍逻辑代数的基本运算、基本定律和基本运算规则,然后介绍逻辑函数的表示方法及逻辑函数的代数化简法和卡诺图化简法。逻辑代数有其自身独立的规律和运算法则,而不同于普通代数。

2.2逻辑函数及其表示法

2.2.1基本逻辑函数及运算

1、与运算———所有条例都具备事件才发生

开关:“1” 闭合,“0” 断开

灯:“1” 亮,“0” 灭

真值表:把输入所有可能的组合与输出取值对应列成表。

逻辑表达式: L=K1*K2 (逻辑乘)

逻辑符号:原有符号:

逻辑功能口决:有“0”出“0”,全“1”出“1”。

2、或运算———至少有一个条件具备,事件就会发

生。

逻辑表达式:L=K1+K2 (逻辑加)逻辑符号:

逻辑功能口决:有“1”出“1”全“0”出“0”

3、非运算:—结果与条件相反

逻辑表达式:

逻辑符号:

2.2.2 几种导出的逻辑运算

一、与非运算、或非运算、与或非运算

二、异或运算和

同或运算

逻辑表达式:相同为“1”,不同为“0”

2.2.3 逻辑函数及其表示法

一、逻辑函数的建立

举例子说明建立(抽象)逻辑函数的方法,加深对逻辑函数概念的理解。

例2.2.1 两个单刀双掷开关 A和B分别安装在楼上和楼下。上楼之前,在楼下开灯,上楼后关灯;反之下楼之前,在楼上开灯,下楼后关灯。

试建立其逻辑式。

表2.2.6 [例2.2.1]真值表

例2.2.2 比较A、B两个数的大小

二、逻辑函数的表示方法

1.真值表

逻辑函数的真值表具有唯一性。逻辑函数有n个变量时,共有个不同的变量取值组合。在列真值表时,变量取值的组合一般按n位二进制数递增的方式列出。用真值表表示逻辑函数的优点是直观、明了,可直接看出逻辑函数值和变量取值之间的关系。

分析逻辑式与逻辑图之间的相互转换以及如何由逻辑式或逻辑图列真值表。

2.逻辑函数式

写标准与-或逻辑式的方法是:

(l)把任意一组变量取值中的1代以原变量,0代以反变量,由此得到一组变量的与组合,如 A、B、C三个变量的取值为 110时,则代换后得到的变量与组合为 A B 。

(2)把逻辑函数值为1所对应的各变量的与组合相加,便得到标准的与-或逻辑式。

3.逻辑图

逻辑图是用基本逻辑门和复合逻辑门的逻辑符号组成的对应于某一逻辑功能的电路图。

作业:P31 题2.1

2. 3 逻辑代数的基本定律和规则

学习目标:掌握逻辑代数的基本公式、基本定律和重要规则。

教学重点:5种常见的逻辑式;用并项法、吸收法、消去法、配项法对逻辑函数进行化简;

教学难点:运用代数化简法对逻辑函数进行化简;

课时分配:2学时

教学过程:

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电路基础实验3

数字电子电路的基础实验 实验3 触发器及其应用 一、实验目的 1、掌握基本RS 、JK 、D 和T 触发器的逻辑功能; 2、掌握集成触发器的逻辑功能及使用方法; 3、掌握触发器之间相互转换的方法。 二、实验原理 触发器具有两个稳定状态,分别表示逻辑状态“1”和“0”。在一定的外界信号作用下,它可以从 一个稳定状态翻转到另一个稳定状态,是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、 基本RS 触发器 图4.29为由两个与非门交叉耦合构成的基本RS 触发器,它是无时钟控制低电平直接触发的触发器。基本RS 触发器具有置“0”、置“1”和“保持”三种功能。通常称S 为置“1”端,因为S =0(R =1)时触发器被置“1”;R 为置“0”端,因为R =0(S =1)时触发器被置“0”,当S =R =1时状态保持;S =R =0时,触发器状态不定,应避免此种情况发生,表4.18为基本RS 触发器的功能表。基本RS 触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2、JK 触发器 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74HC112双JK 触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4.30所示。JK 触发器的状态方程为:Q n+1 =J Q n +K Q n 表4.18 基本RS 触发器的功能表 图4.29 基本RS 触发器 J 和K 是数据输入端,是触发器状态更新 的依据,若J 、K 有两个或两个以上输入端时, 组成“与”的关系。Q 与Q 为两个互补输出 端。通常把 Q =0、Q =1的状态定为触 发器“0”状态;而把Q =1,Q =0定为 “1”状态下降沿触发JK 触发器的功能如 表4.19所示。 注:×为 任意态, ↓为高到低电平跳变, 图4.30 74HC112的引脚排列图及逻辑符号

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术实验练习内容

数字电子技术实验练习内容 实验二TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门与三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量输 , 14 =) 11 , 13 , 12 入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理? 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点就是什么? 实验三CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路与一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理? 2.CMOS集成电路的电压传输特性有什么特点? 3.CMOS集成与非门、与门的闲置输入端如何处理? 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86与74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门与与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理?

数字电路教学

数字电路教学 1加强实验教学的目的 数字电路是理工科很多专业的一门技术基础课,也是学生以后从事科学技术研究和开发工作的一门重要课程,其目的既要培养学生良好的基本实验素养和基本实验技能,也要为学生在理论与应用之间架起一座桥梁,使它成为培养应用复合型人才的一个重要教学环节。 2数字电路教学现状 (1)数字电路目前在很多学校仍然采用传统的教学方法,教学的重点仍然是传统的基本教学内容。而随着信息时代的到来,教育的重心由以往的注重传授知识向注重培养学生综合素质发生转变。该课程作为一门重要的专业基础课,其教学内容应该适应科学技术的发展以及对人才培养的要求。如今教学改革应该遵循“理论够用,实践为重”的原则,将培养能力的思想作为核心。力求为社会培养基础扎实、具有创新意识和创新能力,理论联系实际、综合素质高的新一代建设人才。 (2)传统的数字电路实验是按课程的开设顺序逐一设置基本实验项目和课程设计实验,也就是主要围绕相关的理论课程来设计的一种实验模式。实验以中小规模电路为主,大规模数字电路实验较少,也很少考虑各实验课内容相互之间

的衔接与综合,以至学生往往缺少大型数字电路实验的训练机会,难以培养出综合电路设计的能力。这样的实验结构已落后于当代学生科学素质、实验技能和创新能力培养的要求。现在新型的实验结构不仅是实验内容的深化、强化,而且还需要体现实验的系统性综合性和创新性。 (3)目前开设的数字电路实验内容,大多是对理论教学的简单验证和基础实验的技能训练,内容老化,手段单一。传统的验证性实验虽然可以加深学生对理论知识的理解,但仍届于获取间接知识的渠道。当学生进行传统的验证性实验时知识结论已先入为主地占据了学生的头脑,当实验数据与理论不相符合时,学生往往不去追求事实的真相,而是违背认识以理论为本,去修正实验数据,重蹈理论第一的覆辙的规律。而高校人才的培养则应使学生通过实验亲身体验直接知识的获取,并从中接受和理解间接知识,真正懂得实践才是获取真知的主要渠道。所以应引导学生从实验中去发现、认识事物运用已学到的知识去进行解释、检验和总结,有的客观规律效地调动学生的学习兴趣和热情。只有进行这样的实验教学才可以培养学生自我获取知识和探索未知新知识的能力,为真正成为有用的人才打下良好的基础。 3数字电路教改的思路 (1)为了突出数字电路实验课的地位和作用,在教学内容的改革中,对学生在有关电子仪器的测试方法及测试技术的

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

暨南大学数字电子技术实验3报告

暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定 实验项目名称组合逻辑电路组装与设计测调试指导教师秦岭松 实验项目编号实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息系专业 实验时间年月日下午~月日午温度℃湿度 一.实验目的 1.深入学习掌握组合逻辑电路的设计和安装方法。 2.进一步加深数字逻辑电路调测试方法掌握。 3.学习DS-4 型数字系统综合实验平台可编辑数字波形发生器组成和 使用方法。 二.实验器件、仪器和设备 1.四双输入与非门74LS00 1片 2.四异或门74LS86 1片 3.双4输入与非门74LS20 1片 4.PC机(数字信号显示仪) 5.数字万用表UT56 6.TDS-4数字系统综合实验平台 7.GOS-6051示波器 暨南大学本科 实验报告专用 纸(附页)

三.实验步骤和测试分析

1.组合逻辑电路测试方法介绍 数字电路静态测试方法是:给定数字电路若干组静态输入值,测定数字电路的输出值是否正确。数字电路状态测试的过程是在数字电路设计好后,将其安装连接成完整的线路,把线路的输入接到逻辑电平开关上,线路的输出接到电平指示灯(LED)或用万用表测量进行电平测试,按功能表或状态表的要求,改变输入状态,观察输入和输出之间的关系是否符合设计要求。数字电路电平测试是测量数字电路输入与输出逻辑电平(电压)值是否正确的一种方法。 静态测试是检查设计与接线是否正确无误的重要一步。 数字电路动态测试方法是:在静态测试的基础上,按设计要求在输入端加动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试,动态测试的主要目的测试电路的频率特性(如测试电路使用时的频率范围)等)及稳定特性等。 测试数据分析: 1)要使74系列TTL集成芯片正确工作除了必须接好电源(+V CC =5V和地GND)外,还必须使逻辑输入低电平≤0.8V(越靠近0V 越好),输入高电平≥2V (越靠近5V越好),如果不按照此输入 电平要求进行信号输入就可能损坏芯片或得不到正确的逻辑输出 电平。 2) 74系列TTL集成芯片正确的输出逻辑低电平≤0.2V (越靠 近0V越好),输出高电平≥3.5V (越靠近5V越好),如果芯片输 出逻辑电平不符合以上值,这说明有可能芯片损坏、或者电路连 接、或者设计存在问题,实验过程中随时必须对测试的数据进行 分析,当发现测试数据不符合逻辑电平的有效输出值或不满足设 计要求逻辑电平时,电路可能存在问题,对于存在的问题必须查 找原因,并解决存在问题,这样才能使得实验者获得理论和实验 水平的提高,从而达到做实验的真正目的。 2.裁判合格信号逻辑电路组装与测试 1)逻辑电路组装 根据预习时画出的举重比赛裁判合格信号逻辑电路接线图,采用74LS00芯片组装实现举重比赛裁判合格信号逻辑电路。 2)电路测调试 i.采用静态测试方法进行电路测调试。 暨南大学本科实验报告专用纸(附页)

模拟与数字电子技术课程教学大纲

《模拟与数字电子技术》课程教学大纲 一、课程的性质与主要任务 课程性质:电子技术是工科高等学校机电类的一门必修课,是学习和研究有关电学问题的基础课程。 主要任务:通过本课程的学习,使学生能获得电子技术中必要的基本理论、基本知识和基本技能,具有分析电路和一般电路的设计能力,在培养高级工程技术人才的全 局中,具有增强学生对电子技术工作的适应能力和开发创新能力的作用。 二、课时分配 三、课程教学内容 第一篇模拟电子技术基础 第一章半导体器件基础 目的与要求:通过本章的学习使学生具备必要的半导体知识,能较为深刻地理解半导体器件的工作原理、特性曲线和主要参数。 本章重点:PN结的形成和单向导电性;二极管、三极管、场效应管的结构、工作原理、特性曲线和主要参数. 本章难点:PN的形成、三极管电流放大的基本原理、输入、输出特性曲线的理解、稳压管的稳压特性和场效应管的导电机制。 实验:实验一认识实验 第二章放大电路基础 目的与要求:通过本章的学习使学生掌握放大电路的基本组成原则、掌握放大电路的基本分析方法,还应掌握常用基本放大电路的电路结构、特点和分析过程.通过几个电路 的分析、训练,能训练掌握微变等效电路的分析法. 本章重点:本章是模拟电路中第一个重点章,其重点是:基本放大电路的组成原则和工作原理、偏置稳定共射放大电路和共集放大电路的组成、特点和交直流分析法。 本章难点:电压放大倍数、输入电阻、输出电阻、静态工作点、固定偏置电路工作点不稳定的原因、分压式偏置稳电路的稳定原因、放大电路中的负反馈原理及放大电路的 频率特性概念。 实验:实验二单管共射放大电路 第三章集成运算放大器及其应用 目的与要求:通过本章的学习要求学生较扎实地掌握集成运算放大器的基本组成电路—差动放大器和互补对称功率放大器的组成、工作原理及参数的计算方法。熟练掌握集 成运算放大器的线性应用和简单的非线性应用。 本章重点:本章是模拟电路中第二个重章,本章的重点是:差动放大电路、信号动算电路。

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术实验指导书

《数字电子技术》实验指导书 安阳工学院 电子信息与电气工程学院

实验一门电路逻辑功能及测试 一、实验目的 1.掌握集成门电路的逻辑功能和主要参数的测试方法。 2.熟悉数字电路实验箱及示波器使用方法。 3.学会如何使用集成门电路。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74HC86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路的工作原理及相应逻辑表达式。 2.熟悉所用集成电路的引线位置及各引线用途。 3. 了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。 (2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

表1.1 1245 6 图1.1 74LS20功能测试图 2. 异或门逻辑功能测试 (1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A 、B 、Y 接电平显示发光二级管。 U1A 接电平开关 图 1.2 74HC86连接图 (2) 将电平开关按表1.2置位,将结果填入表中。 表1.2

数字电子技术教学计划

数字电子技术基础教学计划 一、教学指导思想 根据新课程标准的要求,教育学生掌握基础知识与基本技能,培养学生的逻辑思维、空间观念和解决简单实际问题的能力。使学生进一步学会使用普通的仪表,会分析一些简单的电路并进行相应的计算。使学生懂得数学来源于实践反过来作用于实践。提高学生学习的兴趣,逐步培养学生具有良好的学习习惯,实事求是的态度,顽强学习的毅力和独立思考、探索的思想,培养学生应用所学的知识解决一些实际问题的能力,为以后跟深入的学习打下基础。 二、学生基本情况分析 总体来看,学生基较差。对少数几个优生来说,能够透彻理解知识,知识间的内在联系也较为清楚。对大部分后进生来说,简单的基础知识也不能掌握。在学习能力上,学生课外主动获得知识的能力较差甚至几乎为零。学生的逻辑思维能力、计算能力、动手能力需得到加强,以提升学生的整体成绩。应在适当的时候补充课外知识,拓展学生的知识面,提升学生素质。学生的学习习惯养成还不理想,预习习惯,进行总结的习惯,主动纠错的习惯,大多数学生还没有养成。 三、本学期的教学内容共五章 第一章逻辑门电路 第二章数字逻辑基础 第三章组合逻辑电路 第四章集成触发器 第五章时序逻辑电路 四、提高质量的措施 1、认真学习钻研新课标,掌握教材; 2、认真备课、争取充分掌握学生动态; 3、认真上好每一堂课; 4、落实每一堂课后辅助,查漏补缺; 5、积极与其他老师沟通,加强教研、教改,提高教学水平; 6、经常听取学生良好的合理化建议; 7、深化两极生的辅导。

五、教学进度安排 第一章逻辑门电路10课时第二章数字逻辑基础8课时 第三章组合逻辑电路8课时 第四章集成触发器14课时第五章时序逻辑电路8课时

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D