搜档网
当前位置:搜档网 › 数字逻辑电路学习总结

数字逻辑电路学习总结

数字逻辑电路学习总结
数字逻辑电路学习总结

数字逻辑电路学习总结

学号:、

姓名:

学院:

专业:

数字逻辑电路学习总结

经过一学期的学习,我对数字逻辑电路这门课程总结如下:

一:数字逻辑电路绪论及基础

1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性)

2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。

3.数制转换(二进制、八进制、十六进制、8421BCD码)

十~二:右→左,每三位构成一位八进制,不够补0

二~八:右←左,每一位构成三位二进制

八~二:右→左,每四位构成一位十六进制,不够补0

十六~二:右→左,每一位构成一位二进制

十~8421BCD:每一位组成8421BCD码

4.二进制运算(0+0=0,0+1=1,1+1=1 0)

5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)

与门:F=ABC 或门:F=A+B+C 非门:F| 与非门:(AB)|

或非门:F=(A+B)| 异或门:F=A|B+AB|=A(+)B

同或门:F=AB+A|B|=A(*)B

6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系)

8.逻辑函数化简(代数法和卡诺图法)

卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格二:组合逻辑电路

1.组合逻辑电路的分析与设计

任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路

分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能

设计:列出真值表,写出逻辑函数,化简,画逻辑图

2.半加器与全加器的区别(考虑是否进位)

3.编码器(二~十进制编码器P120、优先编码器P134)

8-3优先编码器10-4优先译码器

4.译码器(二进制编码器P140、二至十进制译码器P143)

3-8译码器

5.数据选择器

4选1数据选择器8选1数据选择权

三:触发器

1. 触发器

逻辑功能可分:

RS触发器D触发器JK触发器T触发器T’触发器

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数字逻辑电路第1-6章作业汇总

第一章 单选题 1(10 分)、 8421BCD 码 1001 对应的余 3 码为 ?A、 0011 ?B、 1100 ?C、 1000 ?D、 0001 参考答案: B 2(10 分)、 -3 的四位补码(含符号位)为: ?A、 1011 ?B、 1101 ?C、 1110 ?D、 1100 参考答案: B 3(10 分)、 若 1100 是 2421BCD 码的一组代码,则它对应的十进制数是?A、 5 ?B、 6 ?C、 7 ?D、 8 参考答案: B 4(10 分)、 十六进制数 FF 对应的十进制数是 ?A、 253

?B、 254 ?C、 255 ?D、 256 参考答案: C 5(10 分)、 二进制数 111011.101 转换为十进制数为: ?A、 58.625 ?B、 57.625 ?C、 59.625 ?D、 60.125 参考答案: C 6(10 分)、 设二进制变量 A=0F0H,B=10101111B,则 A 和 B 与运算的结果是?A、 10100000 ?B、 11111111 ?C、 10101111 ?D、 11110000 参考答案: A 7(10 分)、 -3 的四位原码为: ?A、 1111 ?B、 1010 ?C、 1011 ?D、 1101 参考答案: C

8(10 分)、 格雷码的特点是相邻两个码组之间有位码元不同。 ?A、 4 ?B、 3 ?C、 2 ?D、 1 参考答案: D 9(10 分)、 字符‘A’的 ASCII 码为 ?A、 40H ?B、 41H ?C、 42H ?D、 44H 参考答案: B 10(10 分)、 与十进制数 12.5 等值的二进制数为: ?A、 1100.10 ?B、 1011.11 ?C、 1100.11 ?D、 1100.01 参考答案: A 第二章 单选题 1(6 分)、 逻辑函数 L=AB+AC 的真值表中,使得 L=1 的输入变量组合有多少种?

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告 实验二 利用MSI设计组合逻辑电路 姓名: 学号: 班级: 院系: 指导老师: 2016年 目录 实验目的:错误!未定义书签。

实验器件与仪器:错误!未定义书签。 实验原理:错误!未定义书签。 实验内容:错误!未定义书签。 实验过程:错误!未定义书签。 实验总结:错误!未定义书签。 实验: 实验目的: 熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。 掌握用MSI设计的组合逻辑电路的方法。 实验器件与仪器: 数字电路实验箱、数字万用表、示波器。 虚拟器件:74LS00,74LS197,74LS138,74LS151 实验原理: 中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。 用译码器实现组合逻辑电路 译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。如3线-8线译码器。当附加控制门Gs的输入为高电平(S = 1)的时

候,可由逻辑图写出。 从上式可看出。-同时又是S2、S1、S0这三个变量的全部最小项的译码输出。所以这种译码器也叫最小项译码器。如果将S2、S1、S0当作逻辑函数的输入变量,则可利用附加的门电路将这些最小项适当的组合起来,便可产生任何形式的三变量组合逻辑函数。 用逻辑选择器实现组合逻辑电路 数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。如双四选一数据选择器74LS153

Y1和Y2为两个独立的输出端,和为附加控制端用于控制电路工作状态和扩展功能。A1、A0为地址输入端。D10、D11、D12、D13或D20、D21、D22、D23为数据输入端。通过选定不同的地址代码即可从4个数据输入端选出要的一个,并送到输出端Y。输出逻辑式可写成 其简化真值表如下表所示。 S1A1A0Y1 1X X0 000D10 001D11 010D12 011D13 从上述可知,如果将A1A0作为两个输入变量,同时令D10、D11、D12、D13为第三个输入变量的适当状态(包括原变量、反变量、0和1),就可以在数据选择器的输出端产生任何形式的三变量组合逻辑电路。 实验内容: 数据分配器与数据选择器功能正好相反。它是将一路信号送到地址选择信号指定的输出。如输入为D,地址信号为A、B、C,可将D按地址分配到八路输出F0、F1、F2、F3、F4、F5、F6、F7。其真值表如下

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

组合逻辑电路的设计实验报告

广西大学实验报告纸 _______________________________________________________________________________ 实验内容___________________________________________指导老师 【实验名称】 组合逻辑电路的设计 【实验目的】 学习组合逻辑电路的设计与测试方法。 【设计任务】 用四-二输入与非门设计一个4人无弃权表决电路(多数赞成则提案通过)。要求:采用四-二输入与非门74LS00实现;使用的集成电路芯片种类尽可能的少。 【实验用仪器、仪表】 数字电路实验箱、万用表、74LS00。 【设计过程】 设输入为A、B、C、D,输出为L,根据要求列出真值表如下 真值表

根据真值表画卡若图如下 由卡若图得逻辑表达式 B D C

BD AC CD AB BD AC CD AB BD AC CD AB BD AC CD BD AC AB D BCD C ACD B ABD A ABC ACD BCD ABD ABC L ???=???=++=+++=?+?+?+?=+++=))(()()( 用四二输入与非门实现 A B C D L 实验逻辑电路图

Y 实验线路图

【实验步骤】 1.打开数字电路实验箱,按下总电源开关按钮。 2.观察实验箱,看本实验所用的芯片、电压接口、接地接口的位置。 3.检查芯片是否正常。芯片内的每个与非门都必须一个个地测试,以保证芯片 能正常工作。 4.检查所需导线是否正常。将单根导线一端接发光二极管,另一端接高电平。 若发光二极管亮,说明导线是正常的;若发光二极管不亮时,说明导线不导通。不导通的导线不应用于实验。 5.按实验线路图所示线路接线。 6.接好线后,按真值表的输入依次输入A、B、C、D四个信号,“1”代表输入高 电平,“0”代表输入低电平。输出端接发光二极管,若输出端发光二极管亮则说明输出高电平,对应记录输出结果为“1”;发光二极管不亮则说明输出低电平,对应记录输出结果为“0”。本实验有四个输入端则对应的组合情况有16种,将每种情况测得的实验结果记录在实验数据表格中。 测量结果见下表: 实验数据表格

数字逻辑电路的用途和特点

数字逻辑电路的用途和特点 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是TTL 电路还是CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在0 和1 的数字组合内的,所以只要电路能明显地区分开0 和 1 ,0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 (1 )门电路 门电路可以看成是数字逻辑电路中最简单的元件。目前有大量集成化产品可供选用。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

组合逻辑电路实验报告

实验名称:组合逻辑电路 一、实验目的 1、掌握组合逻辑电路的分析、设计方法与测试方法; 2、了解组合逻辑电路的冒险现象及消除方法。 二、实验器材 需要与非门CC4011×3,异或门CC4030×1,或门CC4071×1。 CC4011引脚图CC4030引脚图 CC4071引脚图 三、实验内容及实验电路 1、分析、测试用与非门CC4011组成的半加器的逻辑功能。列出真值表并画出卡诺图判断是否可以简化。 图1由与非门组成的半加器电路

A B S C 2、分析、测试用异或门CC4030与与非门CC4011组成的半加器逻辑电路。 图2由异或门和与非门组成的半加器电路 A B S C 3、分析、测试全加器的逻辑电路。写出实验电路的逻辑表达式,根据实验结果列出真值表与全加器的逻辑功能对比,并画出i S和i C的卡诺图。 图3由与非门组成的全加器电路 A B1 i C i S i C

4、设计、测试用异或门、与非门和或门组成的全加器逻辑电路。 全加和:()1 -⊕⊕=i i i i C B A S 进位:()i i i i i i B A C B A C ?+?⊕=-1将全加器的逻辑表达式,变换成由两个异或门,四个与非门,一个或门组成;画出全加器电路图,按所画的原理图选择器件并在实验板上连线;进行功能测试并自拟表格填写测试结果。电路图:A B 1-i C i S i C 5、观察冒险现象。按图4接线,当1==C B 时,A 输入矩形波(MHz f 1=以上),用示波器观察输出波形,并用添加冗余项的方法消除冒险现象。 图4观察冒险现象实验电路

四、实验预习要求 1、复习组合逻辑电路的分析方法。 2、复习组合逻辑电路的设计方法。 3、复习用与非门和异或门等构成半加器和全加器的工作原理。 4、复习组合电路冒险现象的种类、产生原因和如何防止。 5、根据试验任务要求,设计好实验时必要的实验线路。 五、实验报告 1、整理实验数据、图表,并对实验结果进行分析讨论。 2、总结组合逻辑电路的分析与测试方法。 3、对冒险现象进行讨论。

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告 篇一:数电实验报告实验二组合逻辑电路的设计 实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a)TDs-4数电实验箱、双踪示波器、数字万用表。 b)参考元件:74Ls86、74Ls00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2)组合逻辑电路的功能特点和结构特点. 3)中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。 3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

数字逻辑电路汇总

(2分)正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 (2分)五个D触发器构成环形计数器,其计数长度为 B. 10 (2分)一个T触发器,在T=1时,来一个时钟脉冲后,则触发器() D. 翻转 (2分)数字电路中的三极管工作在 C. 饱和区或截止区 (2分)当用异步I/O输出结构的PAL A. 组合逻辑电路 (2分)用输出低点平有效的3/8译码器和逻辑门实现某一逻辑函数 A. 一定用与门 (2分)按计数过程中数字增减趋势,计数器可分为加法计数器,可逆计数器和 A. 减法计数器 (2分)五个D A. 5 (2分)四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 (2分)测试放大电路输出电压幅值与相位的变化,可以得到它的频率响应,条件是 A. 输入电压幅值不变,改变频率 (2分)下列说法正确的是() D. 竞争-冒险一般是由于信号传输延迟时间不一致引起的 (2分)为实现将JK触发器转换为D A. J=D,K=D (2分)合逻辑电路的有 D. 寄存器 (2分)三态门输出端的三种状态分别是高电平状态,低电平状态和

C. 高阻抗输出状态 (2分)存在约束条件的触发器是 C. JK触发器 (2分)欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是() B. 6 (2分)所谓三极管工作在饱和状态,是指三极管 C. 发射结反偏置,集电结正偏置 (2分)下列说法是正确的是 A. 施密特触发器的回差电压ΔU=UT+-UT- (2分)一个触发器可记录一位二进制代码它有()个稳态 C. 2 (2分)一个8选一数据选择器的数据输入端有()个 E. 8 (3分)欲使JK触发器按Qn + 1=Qn JK触发器的输入端 A. J=K=1 C. J=Q,K=Q D. J=Q,K=1 E. J=1,K=Q (3分)PLD器件的基本结构组成有 A. 与阵列 B. 或阵列 C. 输入缓冲电路 D. 输出电路 (3分)下列说法正确的是() A. 存储器容量等于字线×位线 C. 试用1024×4位的RAM构成4096×4位的存储单元,需要进行字扩展 D. 试用1024×4位的RAM构成4096×16位的存储单元,需要16片RAM (3分)逻辑表达式Y=AB可以用(CD)实现 C. 正与门

相关主题