搜档网
当前位置:搜档网 › CH04-1 CPU设计-模型机指令系统,软件接口(4.1-4.5)

CH04-1 CPU设计-模型机指令系统,软件接口(4.1-4.5)

CH04-1 CPU设计-模型机指令系统,软件接口(4.1-4.5)
CH04-1 CPU设计-模型机指令系统,软件接口(4.1-4.5)

第四章中央处理器

(CPU)设计

中央处理器也简称为处理器(或称为CPU)是组成计算机系统的核心部件,是计算机组成原理研究的主要内容之一。

4.1 计算机组成的层次概念

图4.1 计算机系统的层次结构示意图

4.2 RISC与CISC

4.2.1高级语言计算机体系结构

4.2.2 精简指令系统计算机体系结构1. 精简指令系统的依据

通过优化典型的高级语言程序中最耗费时间的操作,能够更好地支持高级语言。表征RISC结构通常体现如下几点:

首先是使用大量的寄存器

其次,要精心设计流水线。

最后,应采用相对简单的指令系统。这对于在单一芯片上实现CPU 是有益的。

2 精简指令系统的特征

大多数指令单周期执行、寄存器到寄存器的操作、只有Load和Store 指令可以访问存储器、简单的寻址方式、简单的指令格式。

4.2.3 复杂指令系统的依据

推动这一趋势的两个基本理由是:要求简化编译器和要求改善性能。

4.3 模型机的指令系统

假设模型机中有32个寄存器,所有的算术逻辑运算都在寄存器中进行,采用load/store结构,即只有load和store指令可以访问存储器。模型机指令系统的设计原则是:

采用简单的指令系统。指令系统的简单有利于硬件结构的规整和实现起来方便,或者说简单性更有利于形成规律性。

2.模型机设计的第二个基本原则:规模适度。例如,模型机采用了32个寄存器。尽管可以采用更多的寄存器,但是,这会增加硬件信号的传输时间。

3.模型机设计设计的第三个基本原则:认真权衡利弊。例如,选择固定长度的指令格式会与不同的指令间的要求产生矛盾,这需要从整体上来考虑,作出合理的折中。

加快经常性事件的运行速度。

4.3.1把模型机的汇编语句翻译成机器指令

模型机的加法指令:

add T0,S1,S2

用十进制表示是

0 17 18 8 0 32

在模型机汇编语言中,寄存器S0到S7相对应的是寄存器16到23,寄存器T0到T7相对应的是寄存器8到15。因此,S0表示寄存器16,S1表示寄存器17,S2表示寄存器18,…,T0表示寄存器8,T1表示寄存器9,等等。

第一个和最后一个字段(在这条指令中包含的内容分别是0和32)组合起来告诉模型计算机这条指令执行的是加法操作。

第二个字段给出了表示寄存器的数字编号(17=S1),这个编号的寄存器中的内容是加法指令的第一个源操作数。

第三个字段给出了存放加法指令的另一个源操作数的寄存器编号(18=S2)。

第四个字段中的值表示用来存放和的寄存器的编号(8=T0)。

第五个字段在这条指令中没有使用,所以将它设成0。

这样这条指令要实现的是寄存

器S1中的值加上寄存器S2中的值,

并把和存放在寄存器T0中。

相对于十进制,这条指令还可以

用二进制来表示:

000000 10001 10010 01000 00000 100000 6位5位5位5位5位6位

为了区别于汇编语言,称这种数字形式的指令为机器语言,机器语言

的序列则称为机器代码。

4.3.2 模型机的指令格式

Op rs rt rd shamt funct 6位5位5位5位5位6位模型机指令中每一个字段名称

的含义如下:

Op:指令的基本操作,称为操作码rs:第一个源操作数寄存器

rt:第二个源操作数寄存器

rd:目标操作数寄存器,它存放的是指令操作的结果

shamt:暂时不用。

funct:这个字段选择Op字段中的具体操作,有时被称为功能字段。

4.3.3 模型机寻址方式

模型机寻址方式如图4.2所示:1.寄存器寻址。其操作数在寄存器中。

2.偏移量寻址(在模型机中称为基址寻址)。其操作数在存储器中,

它的地址是某寄存器和指令中

常数的和。

3.立即寻址。其操作数是包含在指

令本身中的常数。

4.PC相对寻址。其操作数的地址是PC和指令中常数的和。5.伪直接(pseudodirect)寻址。

其无条件转移地址是由指令中

的低26位地址字段和PC中的

高位拼接而成。

图4.2

1. 寄存器寻址方式

首先约定如下的符号:用Rs、Rt、Rd分别代表rs、rt、rd字段(见图 4.2)所代表的寄存器。为了简单起见,Rs、Rt、Rd也分别表示寄存器Rs、Rt、Rd中的数值。严格地说,寄存器与寄存器中的值是两个完全不同的概念,只是在不至于产生误会的前提之下,我们才不严格区分这两个概念。

算术运算(如add)指令采用的是寄存器寻址方式,它的三个操作数都在寄存器中。设有以下算术运算指令:

add T0,R1,R2

其含义是将寄存器R1和R2中的值求和,并将其结果存放于寄存器T0中。R1、R2、T0分别对应于指令格式中的rs、rt、rd字段所表示的寄存器(见图4.2),即Rs、Rt、Rd。此时Rd=Rs+Rt。

寄存器寻址方式对应的指令格式称为R类型或是R格式;

2.基址寻址方式

基址寻址方式的有效地址按下式计算:

EA=Rs+address (4.1)其中Adress是指令格式中的低16位字段(见图4.2)的数值。

基址寻址方式对应的指令格式

称为I类型或I格式。

数据传送指令使用I格式。I格式的各个字段如下所示:

Op rs rt address

6位5位5位 16位

load指令采用基址寻址方式(I 格式)。

把数据从存储器中读到寄存器中的情况称为读(load)。下面来看一下load指令:

load T0,32(S3) //T0中存放的是A[8] 这里,19(对应于寄存器S3)存放在rs字段中,表示编号为19的寄存器;8(对应于T0)存放在rt字段中,表示编号为8的寄存器。并且32

存放在指令的address字段中。

store指令采用基址寻址方式(I 格式)。

store指令的功能是将寄存器Rt 中的数据传送(写)到存储器当中去,存储器的地址也由(4.1)式计算。

表4.1模型机指令译码

指令格式Op rs rt rd shamt funct address add R 0 reg reg reg 0 32 n.a.

sub R 0 reg reg reg 0 34 n.a. load I 35 reg reg n.a.n.a. n.a. address store I 43 reg reg n.a.n.a. n.a. address 在上面的表4.1中,“reg”表示寄存器的名字,在0到31之间,

“address”表示低16位(地址),“n.a.”(不使用)表示在本格式中没有该字段。请注意,add和sub指令

的Op字段的值是相等的,硬件使用funct字段来决定操作的类型:加(32)或是减(34)。

3. 立即寻址方式

加法指令中,如果有一个操作数为常数,则称为立即数加法指令,记为addi。寄存器SP加常数4就可以写成:

addi SP,SP,4 //SP=SP+4 addi 指令的Op字段的值是8。

这条指令可译为如下机器码(十进制):

Op rs rt 立即数

8 29 29 4

寄存器29对应于SP 。addi二

进制格式如下: 001000 11101 11101 0000 0000 0000 0100 立即数和常数也经常进行比较。因为寄存器ZERO 值总为零,可以将它和零比较。为了和其他值比较,就必须有一个立即数形式的小于指令。如比较S2是否小于10,可写成: slti T0,S2,10 // 如果S2<10,则T0 = 1 32位常数的处理

指令lui 的执行情况如图4.3 lui T0,255 // T0为寄存器8 译为机器语言:

执行完此指令寄存器T0内容为: 图4.3

00111 00000 01000 0000 0000 1111 1111 0000 0000 1111 1111 0000 0000 0000 0000

指令lui的功能是将指令中的16位立即数传入某寄存器的高16位,再将其低16位置零。

那么,如何用模型机汇编码实现将如下的一个32位常数装入寄存器S0?

0000 0000 0011 1101 0000 1001 0000 0000 首先装入高16位,化成十进制为61,使用lui指令:

lui S0,61 //61(十进制)=0000 0000 0011 1101(二进制)执行后S0的内容为:

0000 0000 0011 1101 0000 0000 0000 0000 下一步加上低16位(十进制为2304):addi S0,S0,2304 //2304(十进制)=0000 1001 0000 0000 S0的最终值即为所求的结果:

0000 0000 0011 1101 0000 1001 0000 0000 4. PC相对寻址方式

bne S0,S1,Exit//如果S0≠S1,转向标号为Exit的语句。

经汇编为如下指令,其中转移地址只占16位:

5 1

6 1

7 Exit 6位5位5位 16位

如果程序的转移地址须装入此16位字段,则意味着所有的程序大小都不能超过216,这在现实中是远远不够的。一种解决方法是指定一个寄存器,将它的值与转移地址相加,这样转移指令的地址计算如下:

程序计数器中的值=某寄存器中的值+转移地址(4.3)这个和允许程序达到232,解决了转移地址大小的问题。

那么现在的问题是使用哪个寄存器?答案来自于如何使用条件转移。条件转移用于循环和if语句中,因此它们倾向转向附近的指令。程序计数器(PC)包含当前指令地址的信息,当把指令格式中的低16位(即4.3式的转移地址)看成是二进制补码时有:

-215≤转移地址≤215-1

于是条件转移的范围是以当前的PC 值为中心,上下的偏移范围大约是215。由于几乎所有的循环和if语句的转移范围都小于215字,所以(4.3)式的右边选择寄存器PC是理想的。这种形式的转移寻址称为PC相对寻

址。

注意模型机PC中的当前(地址)

值是相对于下一条指令(PC+4)的地

址而不是当前指令的地址。

5.伪直接寻址方式

在条件转移指令中使用PC相对

寻址。

对无条件转移指令和转移连接

指令使用J格式为程序调用提供比较

长的转移地址。

jump 10000 // 转向指令10000

经汇编为如下格式:

2 10000

6位 26位

此指令中无条件转移操作码值为2,

无条件转移地址为10000。

4.4 汇编语言概念

翻译器(translator):翻译器是这样一种程序,它可以把用某种语言编写的用户程序转换成另一种语言的程序。

源语言(source language):翻译器转换之前的程序使用的语言称为源语言。

目标语言(target language):翻译器转换之后的语言称为目标语言。

汇编语言与汇编器(assembler):如果源语言基本上是数字型机器语言的符号表示,则源语言称为汇编语言;相应地就把这种源语言的翻译器

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

冗余通讯接口设计思考

冗余通讯接口设计思考 1数据下行 RGL网关作为ModbusTCP服务器,而DCS作为ModbusTCP客户端。两个FDSI模块(无论其主从状态)均向RGL网关写入数据,以保证两个RGL网关数据的一致性。在最初建立连接时,FDSI模块需将所有数据写入RGL网关,其后既可定期将所有数据刷新,也能够仅在数据发生变化时传输新的数据。为了对网关的主从状态实行监管,设置了两个主从标签变量:RGL997SY:RGL网关1的主从状态;RGL998SY:RGL网关2的主从状态;与其他数据一样,这两个数据在建立通讯之初必须由FDSI写入RGL网关,其后则既可定期传输,也可在数据发生变化时实行数据传输。FDSI发出的上述两个变量应遵守下述准则:RGL997SY 为1而RGL998SY为0,该组合表示RGL网关1和FDSI1处于主工作状态而RGL网关2和FDSI2处于热备用工作状态(从状态)。该组合下,RGL机架将采纳由FDSI1传输到RGL网关1的相关数据。RGL997SY为0而RGL998SY为1,该组合表示RGL网关2和FDSI2处于主工作状态而RGL网关1和FDSI1处于从工作状态。该组合下,RGL机架将采纳由FDSI2传输到RGL网关2的相关数据。RGL网关不实行数据的写操作,除非RGL网关与FDSI之间的通讯中断或RGL网关无法从FDSI模块读取数据的时间超过3秒。在上述两种情况下,RGL网关将对主从标签变量实行复位,其他数据维持不变,即保持中断数据通讯前的数据。如果两个主从标签变量均为1或均为0,RGL机架将使用最后一个由0转变为1的主从标签变量所对应的RGL网关的数据。RGL网关定期(100毫秒)读取ModbusTCP数据库中的数据,所以RGL网关的时间延迟不超过200毫秒。RGL网关对其内部故障实时监测,如果某个RGL网关探测到出现内部故障,将停止与FDSI模块和RGL机架的数据通讯(既不发出数据,也不接收数据)。RGL机架实时发送距上次数据传输的计时信号到RGL网关,若相关计时信号超过3秒,则RGL网关认为与RGL机架之间的通讯出现故障,RGL网关将停止接收FDSI模块传输的数据。

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.sodocs.net/doc/b78693695.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

串行通信技术-模拟信号转换接口

微机原理与应用实验报告6 实验9 串行通信技术 实验10A 模拟信号转换接口 实验报告

实验九串行通信技术 一、实验目的 1. 了解异步串行通信原理; 2. 掌握MSP430异步串行通信模块及其编程方法; 二、实验任务 1. 了解MSP430G2553实验板USB转串口的通信功能,掌握串口助手的使用 (1)利用PC机的串口助手程序控制串口,实现串口的自发自收功能 为实现PC串口的自发自收功能,须现将实验板上的扩展板去下,并将单片机板上的BRXD和BTXD用杜邦线进行短接,连接图如下所示: 由此可以实现PC串口的自收自发功能。 (2)思考题:异步串行通信接口的收/发双方是怎么建立起通信的 首先在异步通信中,要求接收方和发送方具有相同的通信参数,即起始位、停止位、波特率等等。在满足上面条件的情况下,发送方对于每一帧数据按照起始位数据位停止位的顺序进行发送,而接收方则一直处于接受状态,当检测到起始位低电平时,看是采集接下来发送方发送过来的数据,这样一帧数据(即一个字符)传送完毕,然后进行下一帧数据的接受。这样两者之间就建立起了通信。 2. 查询方式控制单片机通过板载USB转串口与PC机实现串行通信 (1)硬件连接图

(2)C语言程序 采用SMCLK=1.0MHz时,程序如下:

其中SMCLK=1MHz,波特率采用的是9600,采用低频波特方式,则N=1000000/9600=104.1666…,故UCA0BR1=0,UCA0BR0=104,UCBRS=1; 当采用外部晶振时,时钟采用默认设置即可,程序如下:

也是采用了低频波特率方式,所以关于波特率设置的相关计算和上面是一样的。 (3)思考:如果在两个单片机之间进行串行通信,应该如何设计连线和编程? 由于在上面的连线中将单片机上的P1.2和BRXD相连,P1.1和BTXD相连,所以若要在两个单片机之间进行通信,首先应该将两个单片机的P1.2和P1.1交叉相连,并根据上面的程序进行相同的关于端口和波特率相关的设置即可实现两个单片机之间的通信。 3. (提高)利用PC机RS232通信接口与单片机之间完成串行通信 (1)硬件连接图 在实验时,采用了将PC机的串口com1直接连接至MSP430F149的孔型D9连接器上,G2553单片机的输出引脚P1.1和P1.2分别与F149单片机上的URXD1和UTXD1相连接,连接图如下所示:

电能表中通讯接口电路的设计以及实现

电能表中通讯接口电路的设计以及实现 /h1 随着电力和电子产业的蓬勃发展,及用户和电力公司对电能表的要求愈来愈高,电能表作为用户和电力公司交易平台,其作用至关重要。电能表作为衡量电能的计量仪器,其技术性要求很高,既要求精确、更要求稳定,并保证长期可靠运行,并且随着我国电力市场的逐步建立和完善,电力系统越来越复杂,作为电力系统重要组成部分的电能表受到了越来越多的关注。为了满足各方面的需求,电能表设计也朝着复费率、精确计量、智能化和网络化的方向发展,在工业用户的电力系统中,电能表从性能上还要满足恶劣的工作环境,电压高、电流大、负荷重等条件。但我国早先普遍使用的感应式电表存在精度差、功耗大、受谐波影响大等问题,在用电计费上给国家带来了很大的损失。随着电子技术发展和现代电力应用,电能表专用计量芯片如ATT7022B、A TT7022C也随即而出,从某种程度上提高了电能计量精度,简化了电度表设计结构,功能上也得到了更多的扩展。但是为了提高电力管理部门工作效率,实现远程控制、自动抄表等,那么高精度智能电能表才是今后市场的迫切所需。 本系统采用专用计量芯片来检测电信号,配以微控制器(MCU)编程实现多种功能。检测部分由精密电流互感器、电压互感器和外围处理电路组成,从而得到电流、电压、频率、相位等电网的实时参数,经计量芯片ATT7022B处理,并使用FPGA实现其通信,将计量得到各种电网参数进行处理和相应的存储,最后通过液晶显示屏显示或通过通信模块(RS-485或红外)进行远程通信和红外抄表。

1.SPI通信接口 本论文设计的SPI接口电路连接可以参考图1,ATT7022B的SPI通信格式是相同的,8位地址,24位数据,MSB在前,LSB在后。CS为片选,允许访问串口的控制线,CS由高电平变为低电平是表示SPI操作开始,CS由低电平变为高电平时表示SPI操作结束,所以每次操作SPI 时CS必须出现下降沿,CS出现上升沿时表示SPI操作结束;DIN为串行数据输入,用于把用户的数据(如数据/命令/地址等)传输到ATT7022B;DOUT为串行数据输出,用于从ATT7022B寄存器读出数据;SCLK为串行时钟,控制数据移出或移入时串行口的传输率,上升沿放数据,下降沿取数据。SCLK下降沿时将DIN上的数据采样到ATT7022B中,SCLK上升沿时将ATT7022B的数据放置于DOUT上输出。 SPI读操作时序图如图2。ATT7022B的计量参数以及校表参数寄存器是通过SPI 提供给外部FPGA来进行处理。 其命令格式为 7 6 5 4 3 2 1 0 Bit7:0表示读命令,用于读取A TT70 22B的计量及校表寄存器。

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

串行通信技术SERDES正成为高速接口的主流

串行通信技术SERDES正成为高速接口的主流 串行通信技术SERDES正成为高速接口的主流 2009-08-21 13:44随着对信息流量需求的不断增长,传统并行接口技术成为进一步提高数据传输速率的瓶颈。过去主要用于光纤通信的串行通信技术——SERDES正在取代传统并行总线而成为高速接口技术的主流。本文阐述了介绍SERDES 收发机的组成和设计,并展望了这种高速串行通信技术的广阔应用前景。 ? SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种时分多路复用(TDM)、点对点的通信技术,即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,从而大大降低通信成本。 ? SERDES技术最早应用于广域网(WAN)通信。国际上存在两种广域网标准:一种是SONET,主要通行于北美;另一种是SDH,主要通行于欧洲。这两种广域网标准制订了不同层次的传输速率。目前万兆(OC-192)广域网已在欧美开始实行,

中国大陆已升级到2.5千兆(OC-48)水平。SERDES技术支持的广域网构成了国际互联网络的骨干网。 ? SERDES技术同样应用于局域网(LAN)通信。因为SERDES 技术主要用来实现ISO模型的物理层,SERDES通常被称之为物理层(PHY)器件。以太网是世界上最流行的局域网,其数据传输速率不断演变。IEEE在2002年通过的万兆以太网标准,把局域网传输速率提高到了广域网的水平,并特意制订了提供局域网和广域网无缝联接的串行WAN PHY。与此同时,SERDES技术也广泛应用于不断升级的存储区域网(SAN),例如光纤信道。 ? 随着半导体技术的迅速发展,计算机的性能和应用取得了长足进步。可是,传统并行总线技术——PCI却跟不上处理器和存储器的进步而成为提高数据传输速率的瓶颈。新一代PCI标准PCI Express正是为解决计算机IO瓶颈而提出的(见表1)。PCI Express是一种基于SERDES的串行双向通信技术,数据传输速率为2.5G/通道,可多达32通道,支持芯片与芯片和背板与背板之间的通信。国际互联网络和信息技术的兴起促成了计算机和通信技术的交汇,而SERDES串行通信技术逐步取代传统并行总线正是这一交汇的具体体现。

OPC通讯接口设计

神龙汽车成都四厂 焊装制造执行系统FMES 详细设计书 施耐德电气(中国)有限公司 2015年8月25日 版本:A

第一章引言 1.1编写目的 本说明书在需求分析的基础上,对神龙汽车四厂焊装制造执行系统FMES的各个功能模块的实现,以及系统管理界面UI和数据库的设计进行了说明。程序开发人员应参考本说明进行代码的编写和测试。 1.2背景 系统的名称:神龙汽车四厂焊装制造执行系统FMES 任务提出者:神龙汽车有限公司成都分公司 系统开发者:施耐德电气(中国)有限公司 本系统完成后,在神龙汽车有限公司成都四厂进行安装部署和投入使用,该项目总体目标应达成以下要求: 1.实现ANDON管理模式,实施生产可视化、停线和响声管理; 2.对现场发生事件的统计分析,以便持续改善; 3.对现场各类设备(输送、工艺和机器人)的实时监控; 4.实现车间车身和载具的详细跟踪; 5.实现各分装区的生产管理; 6.实现与自动化和管理系统的通讯; 7.实现各类统计报表的生成; 1.3定义 1.4参考资料 四厂MES项目招标文件 神龙四厂FMES需求分析报告 工业应用系统与PLC的接口规范 神龙四厂FMES与SPPV系统接口设计规范

第二章系统架构 2.1网络架构 FMES系统布置2台应用服务器,具备热备冗余和负荷均衡功能。通过软件方案keepalived + Heartbeat来实现。 2.2系统功能分解图 2.3任务概述 2.3.1业务需求 2.3.2运行与开发环境 操作系统: 神龙四厂FMES服务器:Windows Server 2008 R2简体中文标准版 开发环境: 微软开发平台C# .net framework 4.0开发版 开发工具:Microsoft Visual Studio 2010 数据库:Microsoft SQL Server 2008 R2 PRO 开发语言:C# 版本控制:SVN UML建模:Enterprise Architect 11 结构图绘制:Microsoft office visio 2007

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

模型机实验报告

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师:程旭辉附小晶 实验室名称:计算机专业实验中心 一、实验名称:复杂模型机的设计与实现 二、实验目的:

1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统。 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW-48CPP系列计算机组成原理实验系统。 四、实验原理: 1.数据格式 8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令。 (1)算术逻辑指令 设计9条算术逻辑指令并用单字节表示,采用寄存器直接寻址方式,其格式如下: 其中, (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC) 其中,OP-CODE指令)。D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2。 (3)I/O指令 输入(IN)和输出( 其中,addr=01时,选中“OUTPUT DEVICE”中的LCD

点阵液晶屏作为输出设备。 (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其

本模型机的数据通路框图如图7-1。根据机器指令系统要求,设计微程序流程图及确定微地址,如图7-2。 图7-2 微程序流程图 五、实验内容: 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操作时的十六进制格式文件。 微代码定义如表7-1所示。

电容式触摸屏的通讯接口设计方案

电容式触摸屏的通讯接口设计方案 随着手机、PDA等便携式电子产品的普及,人们需要更小的产品尺寸和更大的LCD显示屏。受到整机重量和机械设计的限制,人机输入接口开始由传统的机械按键向电阻式触摸屏过渡。2007年iPhone面世并取得了巨大成功,它采用的电容式触摸屏提供了更高的透光性和新颖的多点触摸功能,开始成为便携式产品的新热点,并显现出成为主流输入接口方式的趋势。 一、 Cypress TrueTouch?电容触摸屏方案介绍 Cypress PSoC技术将可编程模拟/数字资源集成在单颗芯片上,为感应电容式触摸屏提供了TrueTouch?解决方案,它涵盖了从单点触摸、多点触摸识别手势到多点触摸识别位置的全部领域。配合高效灵活的PSoC Designer 5.0 开发环境,Cypress TrueTouch?方案正在业界获得广泛的应用。 图1是Cypress TrueTouch?方案中经常使用的轴坐标式感应单元矩阵的图形,类似于触摸板,将独立的ITO 感应单元串联在一起可以组成Y 轴或X 轴的一个感应单元,行感应单元组成Y 轴,列感应单元组成X 轴,行和列在分开的不同层上。多点触摸识别位置方法是基于互电容的触摸检测方法(行单元上加驱动激励信号,列单元上进行感应,有别于激励和感应的是同一感应单元的自电容方式),可以应用于任何触摸手势的检测,包括识别双手的10 个手指同时触摸的位置(图2)。它通过互电容检测的方式可以完全消除“鬼点”,当有多个

触摸点时,仅当某个触摸点所在的行感应单元被驱动,列感应单元被检测时,才会有电容变化检测值,这样就可以检测出多个行 / 列交*处触摸点的绝对位置。 图1 轴坐标式感应单元矩阵的图形

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

工控系统中的RS485通讯接口设计

工控系统中的RS485通讯接口设计 在工业控制、采集等现场应用中,非常普遍地都会使用到RS485、CAN等通讯接口。同时这类通讯线缆连接的两个设备相隔距离又比较远(最长的距离会达到1公里以上)、设备工作环境也比较恶劣(机器机房、山区、电站)。所以,如此长的通讯线缆,会有效的把系统外的干扰信号或电磁脉冲接收 到板子上。如果没有对这部分信号进行处理,这些有害的信号则有可能使系统运行出错,严重的会导致 系统物理损坏。在山区雷雨季节,雷电辐射电流会通过通讯线缆直接导致系统损坏。所以,需要对这类 通讯接口进行物理隔离,达到保护系统正常运行的要求。 最基本的设计思路,可以参考下面的说明: 1、电路设计 由于通讯接口要连接较长的通讯线缆,所以通讯接口在系统中,就是主要的干扰源输入端口。在电 路设计的时候,需要把这部分电路完全物理隔离开,包括这部分的工作电源,同时端口驱动芯片也需要 选用有一定防护能力器件(如SN74LBC184,接触放电:+/-30KV 空隙放电:+/-15KV 人体放电: +/-15KV)。 在电气隔离方面,最常用的电路设计方法就是使用光电隔离器件(或磁耦器件),将系统中的信号 进行物理隔离后,传输给端口驱动器件,同时必须给端口驱动部分提供独立的电源(单独使用一个电源、或者是使用隔离电源)。如下图所示:

上图中,串口通讯信号经过关电隔离器件H11L1后,连接到RS485驱动器件SN75LBC184器件上,同时RS485驱动器件的收/发控制信号RTS,也经过光电隔离器件TL181。图纸中的ISO_VCC及 ISO_GND地线是一组电源,5V0及GND是一组电源,这两组电源是物理隔离的。经过这样设计,串口的收、发信号、控制信号和电源,全部都达到了物理隔离的要求。 2、光电隔离器参数 由于每颗光电隔离器都有它的工作参数,如数据传输率、输入二极管正向电流IF、输出电流等IC, 它们决定了光电隔离器的工作状态与稳定性。 如TLP181的推荐工作参数:

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

相关主题