搜档网
当前位置:搜档网 › ModelSim11.0 教程

ModelSim11.0 教程

ModelSim11.0 教程
ModelSim11.0 教程

Quartus11中调用ModelSim-Altera6.5实例指导

编者:Ourfpga https://www.sodocs.net/doc/b914330933.html,

备注:参考网络上文档及代码编写此文档,在此对他们表示感谢!

此处默认您已经安装好quartus11.0软件。。。

一.Modelsim_Altera_ase软件安装

睿智FPGA开发板配套光盘内提供了Modelsim_Altera_ase的安装包,ase版本是altera start edition,即入门版,免费使用的;ae是altera edition,,需要破解,支持更多功能吧。

但对于我们,ase版本已经足够了。装上就能使用。就不费破解的事了。

如想安装ae版本,请参考Bingo写过的教程,网页地址如下:

https://www.sodocs.net/doc/b914330933.html,/crazybingo/archive/2011/02/21/1959893.html

此处安装11.0_Altera_Modelsim_ase_windows.exe,。具体步骤如下:

(1)打开安装目录下的setup,一路next,直到选择路径的时候,选择与quartus ii安装目录相同的路径。如下图所示,我的电脑上装在E盘上了,您要根据您的设置来改。

(2)继续next,静默,等待安装完毕……

(3)安装完毕,出现以下界面:

(4)OK,Finish!

(5)打开Quartus II,打开菜单Tool-Options,在EDA Tool Options中的Modelsim-Altera,选择Moldelsim-Altera应用程序的根目录,配置Modelsim-Altera

应用程序第三方软件路径。如下图所示:在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera6.5e的安装路径)

至此,Altera-Modelsim ase版本安装完毕

二.如何在Quartus II11.0中调用ModelSim-Altera

我以一个简单的实例来描述整个过程:

先弄一个工程,打开QuartusII,菜单file---new,新建一个工程

新建一个verilog HDL File,代码:module modelsim_test(clk,rst_n,div); input clk;

input rst_n;

output div;

reg div;

很简单的一个代码,是二分频电路。

我们要做什么呢,就是对这个电路进行Modelsim仿真,

下面,我们要设置一下:

在Quartus II11.0界面菜单栏中选择Assignments->Settings。

1.选中该界面下EDA Tool settings中的Simulation一项;

2.Tool name中选择ModelSim-Altera;

3.Format for output netlist中选择开发语言的类型Verilog或者VHDL等,

4.Time scale指定时间单位级别

5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。

进入到一个关键步骤:

生成仿真测试文件

选择Quartus II11.0开发界面菜单栏下

Writer,提示生成成功。这个生成的仿真测试文件(

目录下找到后缀名为".vt"的文件)并根据自己需要进行编辑。下面是生成的文件原样,还没

注意:QuartusII中testbench文件的后缀是.vt,产生的模板文件只是包含了端口映射,端口声明等,具体的功能还是需要设计者自己编写,下面我们在模板上修改,编写testbench 代码如下:

在Test Benches中点击New

见下图:

在Test bench name栏要填写刚刚我们创建的testbench文件的实体名即modelsim_test_vlg_tst;

在Top level modele in test bench中也填写modelsim_test_vlg_tst;在Design instance name in test bench中i1

可以看到div信号是clk的二分频了。

如何编写testbench的总结(非常实用的总结)

1.激励的设置

相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。

方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench 中要定义为wire型变量,然后用输出使能控制传输方向。

eg:

inout[0:0]bi_dir_port;

wire[0:0]bi_dir_port;

reg[0:0]bi_dir_port_reg;

reg bi_dir_port_oe;

assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1'bz;

用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)

方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:

module test();

wire data_inout;

reg data_reg;

reg link;

#xx;//延时

force data_inout=1'bx;//强制作为输入端口

...............

#xx;

release data_inout;//释放输入端口

endmodule

reg[7:0]mem[1:256]//a8-bit,256-word定义存储器mem

initial$readmemh("mem.data",mem)//将.dat文件读入寄存器mem中

initial$readmemh("mem.data",mem,128,1)//参数为寄存器加载数据的地址始终

2)输出文本文件:打开输出文件用?$fopen例如:

integer out_file;//out_file是一个文件描述,需要定义为integer类型

out_file=$fopen("cpu.data");//cpu.data是需要打开的文件,也就是最终的输出文本

设计中的信号值可以通过$fmonitor,$fdisplay,

2.Verilog和Ncverilog命令使用库文件或库目录

ex).ncverilog-f run.f-v lib/lib.v-y lib2+libext+.v//一般编译文件在run.f中,库文件在lib.v 中,lib2目录中的.v文件系统自动搜索

使用库文件或库目录,只编译需要的模块而不必全部编译

2).VCD数据库也可以记录在设计仿真过程中信号的变化.它只记录你选择的信号的变化. ex).$dumpfile("filename");//打开数据库

$dumpvars(1,top.u1);//scope=top.u1,depth=1

第一个参数表示深度,为0时记录所有深度;第二个参数表示scope,省略时表当前的scope. $dumpvars;//depth=all scope=all

$dumpvars(0);//depth=all scope=current

$dumpvars(1,top.u1);//depth=1scope=top.u1

$dumpoff//暂停记录数据改变,信号变化不写入库文件中

$dumpon//重新恢复记录

3).Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.

如果要在ncverilog仿真时,记录信号,首先要设置debussy:

a.setenv LD_LIBRARY_PATH LD_LIBRARY_PATH

(path for debpli.so file(/share/PLI/nc_xl//nc_loadpli1))

b.while invoking ncverilog use the+ncloadpli1option.

ncverilog-f run.f+debug+ncloadpli1=debpli:deb_PLIPtr

fsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD

注意:在用ncverilog的时候,为了正确地记录波形,要使用参数:"+access+rw",否则没有读写权限

在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk.………………………………………………………………………………………………………

关于信号记录的系统任务的说明:

在testbench中使用信号记录的系统任务,就可以将自己需要的部分的结果以及波形文件记录下来(可采用sigalscan工具查看),适用于对较大的系统进行仿真,速度快,优于全局仿真。使用简单,在testbench中添加:

initial begin

$shm_open("waves.shm");

$shm_probe("要记录信号的路径“,”AS“);

#10000

$shm_close;即可。

4.ncverilog编译的顺序:ncverilog file1file2....

有时候这些文件存在依存关系,如在file2中要用到在file1中定义的变量,这时候就要注意其编译的顺序是

从后到前,就先编译file2然后才是file2.

initial begin force sig1=1'b1;...;release sig1;end

force可以对wire赋值,这时整个net都被赋值;也可以对reg赋值.

6.加载测试向量时,避免在时钟的上下沿变化

而是在时钟的上升

assign#5c=a^b

……

@(posedge clk)#(0.1*`cycle)A=1;

****************************************************************************** //testbench的波形输出

module top;

...

initial

begin

$dumpfile("./top.vcd");//存储波形的文件名和路径,一般是.vcd格式.

$dumpvars(1,top);//存储top这一层的所有信号数据

$dumpvars(2,top.u1);//存储top.u1之下两层的所有数据信号(包含top.u1这一层) $dumpvars(3,top.u2);//存储top.u2之下三层的所有数据信号(包含top.u2这一层)

$dumpvars(0,top.u3);//存储top.u3之下所有层的所有数据信号end

endmodule

//产生随机数,seed是种子

$random(seed);

ex:din<=$random(20);

//仿真时间,为unsigned型的64位数据

$time

ex:

...

time condition_happen_time;

...

condition_happen_time=$time;

...

$monitor($time,"data output=%d",dout);

...

//参数

parameter para1=10,

para2=20,

para3=30;

//显示任务

$display();

//监视任务

$monitor();

//延迟模型

specify

...

//describ pin-to-pin delay

endspecify

ex:

module nand_or(Y,A,B,C);

input A,B,C;

output Y;

AND2#0.2(N,A,B);

OR2#0.1(Y,C,N);

specify

(A*->Y)=0.2;

(B*->Y)=0.3;

(C*->Y)=0.1;

endspecify

endmodule

//时间刻度

`timescale单位时间/时间精确度

//文件I/O

1.打开文件

2.写入文件

//$fmonitor只要有变化就一直记录

$fmonitor(file_id,"%format_char",parameter);

eg fmonitor(file_id,"%m:%t in1=%d o1=%h",$time,in1,o1); //$fwrite需要触发条件才记录

$fwrite(file_id,"%format_char",parameter);

//$fdisplay需要触发条件才记录

$fdisplay(file_id,"%format_char",parameter);

$fstrobe();

3.读取文件

integer file_id;

file_id=$fread("file_path/file_name","r");

4.关闭文件

$fclose(fjile_id);

5.由文件设定存储器初值

$readmemh("file_name",memory_name");//初始化数据为十六进制

$readmemb("file_name",memory_name");//初始化数据为二进制

//仿真控制

$finish(parameter);//parameter=0,1,2

$stop(parameter);

//读入SDF文件

$sdf_annotate("sdf_file_name",module_instance,"scale_factors");

//module_instance:sdf文件所对应的instance名.

//scale_factors:针对timming delay中的最小延时min,典型延迟typ,最大延时max调整延迟参数

//generate语句,在Verilog-2001中定义.用于表达重复性动作

//必须事先声明genvar类型变量作为generate循环的指标

eg:

genvar i;

generate for(i=0;i<4;i=i+1)

begin

assign=din=i%2;

end

endgenerate

//资源共享

always@(A or B or C or D)

sum=sel?(A+B)C+D);

//上面例子使用两个加法器和一个MUX,面积大

//下面例子使用一个加法器和两个MUX,面积小

always@(A or B or C or D)

begin

tmp1=sel?A:C;

tmp2=sel?B;

end

always@(tmp1or tmp2)

sum=tmp1+tmp2;

******************************************************************************模板:

reg

……

wire……//将DUT的输出定义为wire类型

……

//在这里例化DUT

initial

begin

……//在这里添加激励(可以有多个这样的结构)

end

always……//通常在这里定义时钟信号

initial

//在这里添加比较语句(可选)

end

initial

//在这里添加输出语句(在屏幕上显示仿真结果)

end

endmodule

一下介绍一些书写Testbench的技巧:

1.

2.如果DUT中包含双向信号(inout),在编写testbench时要注意。需要一个reg变量来表示其输入,还需要一个wire变量表示其输出。

3.如果initial块语句过于复杂,可以考虑将其分为互补相干的几个部分,用数个initial块来描述。在仿真时,这些initial块会并发运行。这样方便阅读和修改。

4.每个testbench都最好包含$stop语句,用以指明仿真何时结束。最后提供一个简单的示例(转自Xilinx文档):

DUT:

module shift_reg(clock,reset,load,sel,data,shiftreg);

input clock;

input reset;

input load;

input[1:0]sel;

input[4:0]data;

output[4:0]shiftreg;

reg[4:0]shiftreg;

always@(posedge clock)

begin

if(reset)

shiftreg=0;

else if(load)

shiftreg=data;

else

case(sel)

2’b00:shiftreg=shiftreg;

2’b01:shiftreg=shiftreg<<1;

2’b10:shiftreg=shiftreg>>1;

default:shiftreg=shiftreg;

endcase

end

endmodule

Testbench:

module testbench;//declare testbench name

reg clock;

reg load;

reg reset;//declaration of signals

wire[4:0]shiftreg;

reg[4:0]data;

reg[1:0]sel;

//instantiation of the shift_reg design below

shift_reg dut(.clock(clock),

.load(load),

.reset(reset),

.shiftreg(shiftreg),

.data(data),

.sel(sel));

//this process block sets up the free running clock initial begin

clock=0;

forever#50clock=~clock;

end

initial begin//this process block specifies the stimulus. reset=1;

data=5’b00000;

load=0;

sel=2’b00;

#200

reset=0;

load=1;

#200

data=5’b00001;

#100

sel=2’b01;

load=0;

#200

sel=2’b10;

#1000$stop;

$timeformat(-9,1,"ns",12);

$display("Time Clk Rst Ld SftRg Data Sel"); $monitor("%t%b%b%b%b%b%b",$realtime, clock,reset,load,shiftreg,data,sel);

end

endmodule

PS教程实例1000例

https://www.sodocs.net/doc/b914330933.html,/thread-446934-1-1.html Photoshop绘制逼真头发发丝效果 https://www.sodocs.net/doc/b914330933.html,/thread-446912-1-1.html Photoshop合成在空中漂移的陆地 https://www.sodocs.net/doc/b914330933.html,/thread-446909-1-1.html Photoshop制作漂亮的紫色水晶字效果 https://www.sodocs.net/doc/b914330933.html,/thread-446908-1-1.html Photoshop打造梦想效果的背景字教程 https://www.sodocs.net/doc/b914330933.html,/thread-446900-1-1.html Photoshop简单制作灯光下的黄金字 https://www.sodocs.net/doc/b914330933.html,/thread-446907-1-1.html Photoshop制作菊花照片马赛克效果 https://www.sodocs.net/doc/b914330933.html,/thread-446889-1-1.html Photoshop转手绘教程:给玄彬照片转手绘https://www.sodocs.net/doc/b914330933.html,/thread-446745-1-1.html Photoshop调出男性外景颓废黄调 https://www.sodocs.net/doc/b914330933.html,/thread-446740-1-1.html Photoshop调色美女模特青褐色效果 https://www.sodocs.net/doc/b914330933.html,/thread-446737-1-1.html Photoshop使用通道给儿童照去除雀斑 https://www.sodocs.net/doc/b914330933.html,/thread-446559-1-1.html Photoshop制作唯美效果的风景照 https://www.sodocs.net/doc/b914330933.html,/thread-446557-1-1.html Photoshop制作印有犀利哥标志的T恤 https://www.sodocs.net/doc/b914330933.html,/thread-446538-1-1.html Photoshop为照片打造柔美朦胧效果 https://www.sodocs.net/doc/b914330933.html,/thread-446212-1-1.html Photoshop打造漂亮的镶钻字教程 https://www.sodocs.net/doc/b914330933.html,/thread-446201-1-1.html Photoshop音画教程:绘制逼真的缝绳效果https://www.sodocs.net/doc/b914330933.html,/thread-446198-1-1.html Photoshop音画教程:自定义形状缝线制作方法https://www.sodocs.net/doc/b914330933.html,/thread-446192-1-1.html Photoshop签名教程:灰色魔女动漫成签https://www.sodocs.net/doc/b914330933.html,/thread-446190-1-1.html Photoshop音画教程:立体边框效果制作https://www.sodocs.net/doc/b914330933.html,/thread-446187-1-1.html Photoshop加手绘板绘制中国风的美女 https://www.sodocs.net/doc/b914330933.html,/thread-446159-1-1.html Photoshop把美女照片转成海报效果 https://www.sodocs.net/doc/b914330933.html,/thread-446172-1-1.html Photoshop制作甜心蛋糕公主签名教程 https://www.sodocs.net/doc/b914330933.html,/thread-446147-1-1.html Photoshop给视频截图调出亮白艳丽效果https://www.sodocs.net/doc/b914330933.html,/thread-446140-1-1.html Photoshop简单三步做出照片泛白LOMO风格https://www.sodocs.net/doc/b914330933.html,/thread-446077-1-1.html Photoshop边框教程:简单制作撒点边框https://www.sodocs.net/doc/b914330933.html,/thread-446042-1-1.html Photoshop制作破碎的玻璃字教程 https://www.sodocs.net/doc/b914330933.html,/thread-445950-1-1.html Photoshop制作尘封的记忆古签名 https://www.sodocs.net/doc/b914330933.html,/thread-445882-1-1.html Photoshop简单的制作蕾丝边框 https://www.sodocs.net/doc/b914330933.html,/thread-445690-1-1.html Photoshop调出美女水嫩的肌肤效果 https://www.sodocs.net/doc/b914330933.html,/thread-445678-1-1.html Photoshop为汽车添加闪亮的车灯效果 https://www.sodocs.net/doc/b914330933.html,/thread-445672-1-1.html Photoshop调出清爽色调的海滩照片 https://www.sodocs.net/doc/b914330933.html,/thread-445656-1-1.html Photoshop制作炫彩的花纹文字特效 https://www.sodocs.net/doc/b914330933.html,/thread-445565-1-1.html Photoshop调出照片的复古怀旧色 https://www.sodocs.net/doc/b914330933.html,/thread-445561-1-1.html Photoshop轻松打造MM水清纯靓丽肌肤https://www.sodocs.net/doc/b914330933.html,/thread-445511-1-1.html Photoshop调出人物的淡雅粉嫩肤色教程https://www.sodocs.net/doc/b914330933.html,/thread-445488-1-1.html Photoshop+IR制作花瓣飘落GIF动态效果https://www.sodocs.net/doc/b914330933.html,/thread-445480-1-1.html Photoshop制作墙上的霓虹灯文字效果 https://www.sodocs.net/doc/b914330933.html,/thread-445475-1-1.html Photoshop制作美女照片漂亮的素描风格https://www.sodocs.net/doc/b914330933.html,/thread-445465-1-1.html Photoshop制作真实的建筑物雪景效果 https://www.sodocs.net/doc/b914330933.html,/thread-445441-1-1.html Photoshop打造美女迷人的眼妆 https://www.sodocs.net/doc/b914330933.html,/thread-445428-1-1.html Photoshop快速给人物加上闪亮的蜜唇 https://www.sodocs.net/doc/b914330933.html,/thread-445422-1-1.html Photoshop巧用匹配颜色校正偏黄色照片https://www.sodocs.net/doc/b914330933.html,/thread-444870-1-1.html Photoshop制作汤姆克鲁斯的阿凡达特效https://www.sodocs.net/doc/b914330933.html,/thread-444407-1-1.html Photoshop曲线工具基础教程:色彩对比 https://www.sodocs.net/doc/b914330933.html,/thread-444406-1-1.html Photoshop色阶实例教程:调整照片色彩明暗度https://www.sodocs.net/doc/b914330933.html,/thread-444071-1-1.html Photoshop调色教程:人物淡彩及美白教程https://www.sodocs.net/doc/b914330933.html,/thread-444069-1-1.html Photoshop绘制真实的香水瓶效果 https://www.sodocs.net/doc/b914330933.html,/thread-444007-1-1.html Photoshop打造简单的彗星划过效果 https://www.sodocs.net/doc/b914330933.html,/thread-444003-1-1.html Photoshop保持肤色细节给人物美白 https://www.sodocs.net/doc/b914330933.html,/thread-444002-1-1.html Photoshop设计一个简洁灰色系的网页模板https://www.sodocs.net/doc/b914330933.html,/thread-444001-1-1.html Photoshop简单调出人物质感铜色效果 https://www.sodocs.net/doc/b914330933.html,/thread-443999-1-1.html Photoshop随心随遇制作人物阿凡达效果https://www.sodocs.net/doc/b914330933.html,/thread-443997-1-1.html Photoshop制作滚动显示的文字GIF动态效果https://www.sodocs.net/doc/b914330933.html,/thread-443955-1-1.html Photoshop调出黄转粉色调 https://www.sodocs.net/doc/b914330933.html,/thread-443791-1-1.html Photoshop照片转手绘之头发的画法教程https://www.sodocs.net/doc/b914330933.html,/thread-443789-1-1.html巧用Photoshop随心所欲给汽车皮肤换颜色

arcgis93安装步骤(精)

一、安装ArcGIs Desktop9.3 1.打开下载的ArcGIS Desktop9.3,找到ESRI光盘启动程序(硬盘上的安装文件也行),打开它。 2.在弹出的启动界面上选择ArcView(注:此处选择ArcView并不表示您将来使用的就是ArcView, 其实安装ArcView与ArcInfo只是用户许可的区别。如果您有ArcInfo的许可,即使这里安装的是ArcView,您将使用的是ArcInfo.在这里与ArcGis Desktop9.2的安装有些区别。) 3.在弹出的startup界面上选择Install ArcGIS Desktop 4.下面步骤的安装基本上可以直接点Next按钮。在安装结束后,暂时不需要理会许可,关闭即可。 二、破解ArcGIS Desktop9.3 打开下载的破解文件夹,其中包含以下的文件: data_interop 、license_server_crack、license_server_setup、 ESRI.ArcGis.Desktop.v9.3.ISO-TBE.nfo 1.运行license_server_setup\LMSetup.exe程序,如下图所示,点击Browse 按钮,选择通过注册文件获取授权,选择license_server_setup文件夹中的37102011.efl9文件作为许可文件。点击next按钮,直到完成安装。注:在安 装结束时,请选择Not restart computer.若不小心重启,请在系统启动后在任务管理器中先结束ArcGIS和lmgrd进程。 2.复制license_server_crack文件夹中所有文件到license manager的安装目录“c:\program files\esri\license\arcgis9x”下并覆盖原文件。 3.用记事本打开37102011.efl9,把START_LICENSE与END_LICENSE之间的内容复制一下,然后粘到c:\program files\esri\license\arcgis9x\Arc_Info9.lic 中的“VENDOR ARCGIS”下面,把原来下面的内容全覆盖;还要将第一行主机名改为你机器的名字或者IP (如SERVER pan-reload ESRI_SENTINEL_KEY=37102011 27001) 。 不知道自己ip的可以点击开始-运行-输入cmd回车-输入ipconfig /all查看IP Address后面的就是你的IP。 这里提醒下你的机器名绝对不要是中文名,一定要是英文名,否则写IP都没用。查看和更改方法:打开我的电脑属性-计算机名-完整的计算及名称-这里如果是中文的话点击下面的“更改”输入一个英文名重启机器即可。 4.在开始菜单中点击程序->ArcGIS->License Manager(9.x)->License Manager Tools. 5. 单击“Configuration using Services ”,选择 "ArgGIS license manager" . 6. 然后单击 Configure Services选项卡,设置 Path to the license file : (例如 C:\Program Files\ESRI\License\arcgis9x\Arc_Info9.lic) 7.点击start/stop/Reread标签,点击Start Server按钮,就行了。 8.复制破解文件夹中的data_interop子文件夹里的fme_license.dat文件到ArcGIS安装目录的Data Interoperability Extension文件夹下:如果您默认 将ArcGIS安装在C:\Program Files\ArcGIs\,那么就将fme_license.dat文件 复制到C:\Program Files\ArcGIs\Data Interoperability Extension. 9.在开始菜单点击程序->ArcGIS->Desktop Administration进行设置: 1)点击左侧栏中:Software Product,在右侧选择:"ArcInfo(Floating)".

网店美工视觉设计实战教程(全彩微课版)-48481-教学大纲

《网店美工视觉设计实战教程(全彩微课版)》 教学大纲 一、课程信息 课程名称:网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版) 课程类别:素质选修课/专业基础课 课程性质:选修/必修 计划学时:21 计划学分:2 先修课程:无 选用教材:《网店美工视觉设计实战教程(全彩微课版)》,何晓琴编著,2018年;人民邮电出版社出版教材; 适用专业:本书可作为有志于或者正在从事淘宝美工相关职业的人员学习和参考,也可作为高等院校电子商务相关课程的教材。 课程负责人: 二、课程简介 随着网店的迅速普及和全民化,衍生了“淘宝美工”这个针对网店页面视觉设计的新兴行业。本书从淘宝美工的角度出发,为淘宝卖家提供全面、实用、快速的店铺视觉设计与装修指导。主要包括网店美工基础、图片调色、图片修饰、店铺首页核心模块设计、详情页视觉设计、页面装修、视觉营销推广图制作等,最后针对无线端进行首页、详情页视觉的设计与装修。本书内容层层深入,并通过丰富的实例为读者全方面介绍淘宝美工在日常工作中所需的知识和技能,有效地引导读者进行淘宝店铺装修的学习。 本课程主要对淘宝美工的设计基础和方法进行详细介绍,通过学习该课程,使学生了解网店美工的基本要求,以及掌握网店的设计与制作。 三、课程教学要求

体描述。“关联程度”栏中字母表示二者关联程度。关联程度按高关联、中关联、低关联三档分别表示为“H”“M”或“L”。“课程教学要求”及“关联程度”中的空白栏表示该课程与所对应的专业毕业要求条目不相关。 四、课程教学内容

五、考核要求及成绩评定 注:此表中内容为该课程的全部考核方式及其相关信息。 六、学生学习建议 (一)学习方法建议 1. 理论配合实战训练进行学习,提高学生的实战动手能力; 2. 在条件允许的情况下,可以申请一个网店,进行深入学习; 3. 提高学生的是设计感和审美能力; (二)学生课外阅读参考资料 《网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版)》,何晓琴编著,2018年,人民邮电出版社合作出版教材

Photoshop经典实例教程3000例(PDF打印版)

Photoshop经典实例教程3000例 1、教你打造偏色艺术色调教程 2、·教你打造偏色艺术色调教程 3、Photoshop┾製造制造另类婚纱照片 4、⒈款粉帥氣de游戲做圖 5、PS(IR)可爱的棒棒糖 6、ps打造唯美色调 7、PS打造超炫人物效果 8、一款比较自然的眨眼教程 9、Photoshop最简易的磨皮法 10、Photoshop]制作下雨的效果 11、小菱形背景和圆点背景的做法 12、[Photoshop]PS打造淡彩风格的幻眼效果 13、Photoshop]用Photoshop滤镜打造梦幻艺术效果 14、Photoshop]巧用PS打造镶满诱人钻石的文字 15、Photoshop]PS制作幽冥火焰 16、Photoshop]简单的叠影制作方法 17、Photoshop]制作冬季恋歌浪漫雪景效果 18、Photoshop]用Photoshop设计另类树皮人体 19、Photoshop]教你设计绚丽光芒效果 20、Photoshop]教你设计胶片风情电影海报 21、Photoshop]教你设计神秘炫光黑洞效果 22、Photoshop]PS特效字:闪亮钻石效果 23、[Photoshop]巧绘晶莹剔透的水晶樱桃 24、Fireworks]QQ空间初级玩家必学的11条技巧 25、Flash]给FLASH初学者的10点制作经验 26、Flash]Flash全屏效果全攻略 27、Photoshop]利用PS图层样式绘制彩色玻璃立体文字 28、Photoshop]Photoshop制作逼真的洁白羽毛 29、Photoshop]用PS把秋景照片变为冬景效果 30、[Photoshop]Photoshop教你给MM的衣服换个颜色 31、Photoshop]用PS制作周迅的怀旧效果图 32、Photoshop]转用PS轻松给MM衣服添加图案 33、Photoshop]用PS的动态画笔制作鞭炮 34、Photoshop]教你做天使翅膀的卡通效果 35、Photoshop]制作融化的冰淇淋 36、Photoshop]打造宇宙场景爆炸星球特效 37、Photoshop]教你设计恐怖电影海报 Photoshop]制作奔驰中的汽车 [Photoshop]蝴蝶结边框 [Photoshop]印章制作小教程(ps新手适用)[Photoshop]Photoshop高级柔化处理人物照片

ARCGIS9.3安装破解完整清晰步骤

ARCGIS DESKTOP 9.3 安装破解完整清晰步骤 请按以下步骤来进行安装: 提示:如果您的系统windowsXP(SP1或SP2或SP3),可能需要先安装Microsoft windows Installer. 一、安装ArcGIs Desktop9.3 1.打开下载的ArcGIS Desktop9.3,找到ESRI光盘启动程序(硬盘上的安装文件也行),打开它。 2.在弹出的启动界面上选择ArcView(注:此处选择ArcView并不表示您将来使用的就是ArcView, 其实安装ArcView与ArcInfo只是用户许可的区别。如果您有ArcInfo的许可,即使这里安装的是ArcView,您将使用的是ArcInfo.在这里与ArcGis Desktop9.2的安装有些区别。) 3.在弹出的startup界面上选择Install ArcGIS Desktop 4.下面步骤的安装基本上可以直接点Next按钮。在安装结束后,暂时不需要理会许可,关闭即可。 二、破解ArcGIS Desktop9.3 打开下载的破解文件夹,其中包含以下的文件: data_interop 、license_server_crack、license_server_setup、ESRI.ArcGis.Desktop.v9.3.ISO-TBE.nfo 1.运行license_server_setup\LMSetup.exe程序,如下图所示,点击Browse按钮,选择通过注册文件获取授权,选择license_server_setup文件夹中的37102011.efl9文件作为许可文件。点击next按钮,直到完成安装。注:在安装结束时,请选择Not restart computer.若不小心重启,请在系统启动后在任务管理器中先结束ArcGIS和lmgrd进程。 2.复制license_server_crack文件夹中所有文件到license manager的安装目录“c:\program files\esri\license\arcgis9x”下并覆盖原文件。 3.用记事本打开37102011.efl9,把START_LICENSE与END_LICENSE之间的内容复制一下,然后粘到c:\program files\esri\license\arcgis9x\Arc_Info9.lic中的“VENDOR ARCGIS”下面,把原来下面的内容全覆盖;还要将第一行主机名改为你机器的名字或者IP (如SERVER pan-reload ESRI_SENTINEL_KEY=37102011 27001) 。 不知道自己ip的可以点击开始-运行-输入cmd回车-输入ipconfig /all查看IP Address 后面的就是你的IP。

Win7下安装ArcGIS9.3详细步骤

Win7下安装ArcGIS9.3详细步骤(解决了) 2010-03-16 22:46 大家好我是GIS理想 今天开始在win7系统上安装arcgis9.3 把遇到的问题总结一下: 先把最需要注意的地方说出来再说具体的步骤: 第一使用17.7M的LMsetup.exe那个许可管理器程序 第二不要吧crack文件夹里的lmtools.exe不用拷贝到C盘里 第三Error 1935错误的解决办法: 在解决了以上问题后总算是把arcgis9.3给搞定了下面我来写下详细步骤: 第一解压 (感谢ARCGIS应用空间QQ群里湛蓝的天空网友提供该破解文件)这里也找到了一个下载的地方:https://www.sodocs.net/doc/b914330933.html,/file/f37eb211c3 第二安装\ArcGIS93授权许可文件\license_server_setup\LMSetup.exe直接双击运行选中该目录下的37102011.efl9许可文件下一步下一步.....直至完成!(不要重启一步选中No) 第三拷贝ArcGIS93授权许可文件\license_server_crack文件夹下的四个文件至C:\Program Files\ESRI\License\arcgis9x目录下即可

注意:上图里的L mtools.exe不用拷贝过去 第四打开\ArcGIS93授权许可文件\license_server_setup文件夹下的37102011.efl9文件(用记事本打开) 复制里面的全部内容粘贴到C:\Program Files\ESRI\License\arcgis9x\ARCINFO9.lic里面即可(把原来ARCINFO9.lic里面的全部覆盖掉) 然后再修改this_host为自己的机器存下来 第五打开开始_程序_arcgis_license manager(9.x)_license manger tools弹出如下界面: 选中第二个"configution using Service"即可 下面就是配置Services 就不多说了哈提一下的是选中刚才的ARCINFO9.lic就是了 然后在Start /Stop/Reread里面直接点击一下Start即可这个时候就应该显示Sucess ful了哈哈大功告成 第六重启电脑 直接安装ArcGIS9.3软件即可!但是就在安装进行到最后的时候弹出了上面的那个错误提示框Error 1935错误!顿时傻眼了难道跟在XP下一样么XP下那个是1904好像不过那个错误好解决直接在我的电脑启动故障与恢复里面修改一个值就行了但是win7下却不能了赶紧的google一下没有找到提示"mirscoft MSXML2R...."这误的但是这个1935错误倒是不少于是参照下面步骤即可解决: 1. 修改注册表: HKEY_LOCAL_MACHINE\System\CurrentControlSet\Control

ps滤镜艺术效果大全(含图,适合自学,教学)

实用滤镜大全(适合教学,自学,含图) 一.Artistic(艺术效果) Artistic(艺术效果) 滤镜模拟天然或传统的艺术效果。(注:此组滤镜不能应用于CMYK和Lab模式的图像) 1、Fresco(壁画滤镜) 作用:使用小块的颜料来粗糙地绘制图像。 调节参数: 画笔大小:调节颜料的大小。 画笔细节:控制绘制图像的细节程度。 纹理:控制纹理的对比度。 图解效果:

原图像壁画效果2、Colored Pencil(彩色铅笔滤镜) 作用:使用彩色铅笔在纯色背景上绘制图像。 调节参数:

铅笔宽度:调节铅笔笔触的宽度。 描边压力:调节铅笔笔触绘制的对比度。 纸张亮度:调节笔触绘制区域的亮度。 图解效果: 原图像彩色铅笔效果 第九组:Artistic(艺术效果) Artistic(艺术效果) 滤镜模拟天然或传统的艺术效果。(注:此组滤镜不能应用于CMYK和Lab模式的图像) 1、Fresco(壁画滤镜) 作用:使用小块的颜料来粗糙地绘制图像。 调节参数:

画笔大小:调节颜料的大小。 画笔细节:控制绘制图像的细节程度。 纹理:控制纹理的对比度。 图解效果: 原图像壁画效果2、Colored Pencil(彩色铅笔滤镜) 作用:使用彩色铅笔在纯色背景上绘制图像。 调节参数:

铅笔宽度:调节铅笔笔触的宽度。 描边压力:调节铅笔笔触绘制的对比度。 纸张亮度:调节笔触绘制区域的亮度。 图解效果: 原图像彩色铅笔效果

5、Palette Knife(调色刀) 作用:降低图像的细节并淡化图像,使图像呈现出绘制在湿润的画布上的效果。调节参数: 描边大小:调节色块的大小。 线条细节:控制线条刻画的强度。 软化度:淡化色彩间的边界。 图解效果: 原图像调色刀效果 6、Dry Brush(干画笔)

ArcGIS_93在win7环境下的安装

ArcGIS 9.3在win7环境下的安装 Part A ARCGIS 9.3 DESKTOP 安装---在WIN7 X86 U版准备软件ESRI.ArcGis.Desktop.v9.3.Crack.Only-TBE 下载license_server_setup,安装程序 license_server_crack 破解 data_interop desktop的破解。 首先安装ArcGIS License Manager。18M左右的那个最新版的运行license_server_setup 文件夹中的LMSetup.exe,选择通过注册文件获得授权,选择破解。以文件夹下 license_server_setup文件夹中的37102011.efl9 文件作为许可文件; 在弹出的Import License File 对话框中,需要将Desktop的37102011.efl9 导入。 这里在win7 是如果出现“某某.tmp 文件正在运行,不用写入”之类的错误,多半是你选择的路径中有中文名称所致! 安装完成后要重新启动。 破解:先关闭服务. (打开ArcGIS->License Manager(9.x)-> License Manager Tools,在Service/License File选项卡中,选择Configuration using Services单选框,再在Start/Stop/Reread 选项卡,点Stop Server停止服务)。 修改机器名:复制license_server_crack 文件夹中所有文件到license manager 的安装目录覆盖原文件,形如:”C:\Program Files\ESRI\License\arcgis9x”;拷贝前先将Arc_Info9.lic 文件中,用记事本打开,将SERVER 后面改成你的机器名,如下图 再次打开License Manager Tools, 在Service/License File 选项卡中, 选择Configuration using Services单选框,

ps新手入门实例大全详细教程

ps新手入门实例大全详细教程(二) 来源:网络作者:admin 发布时间:2011-06-29 点击:1262 目录 4.照片边框处理 5.简单照片合成 6.快速蒙版教程 7.认识色阶——————————————————————————————————————(4):照片边框处理 今天我们来学习制作一个简单的照片边框,通过制作过程,来熟悉PS的一些工具与制作手法。 1、打开PS,打开一张照片。现在我们要为这张照片做一个背景图,并改变一下照片的边框。 2、为背景层改名。在图层面板,现在有一个图层名为“背景”。这个背景层的右边有一个小锁。凡是第一次打开一个图片总是有这样一个上了锁的背景层。现在我们要改变它的名称。双击背景层,弹出一个对话框。在名称中写上“照片”后按“好”字。 3、创建新的图层。按一下图层面板最下面的“创建新的图层”按钮,在背景层的上面出现了一个新图层,名称为“图层1”。新图层是不会上锁的,改名就比较容易。我们可以双击这三个字,改名称为“新背景”。

4、凡是新建的图层,都是全透明的。我们可以看到在“新背景”这三个字左边有个小图,全是格子,这表示它是透明的。 我们还可以把“照片”层前的小眼睛关闭,这时,工作区中只有透明的格子样子了。现在我们要把“新背景”层填上颜色。 填充颜色分为两个步骤: A、第一步:要确定前景色还是背景色。 现在到窗口菜单下点“颜色”,出来一个颜色面板。在颜色两字的下面,有二个方的色块。前面黑色的是前景色,后面白色的是背景色。你需要设置前景色时(多数情况下我们是设置前景色),就点前面那块。现在我们按下前景色按钮。黑色的方块的外面多了一个外框,表示现在是设置前景色。 B、第二步:选择颜色。可以选择颜色的地方有好几个。右边的R右边由黑到红的长条下面,有一个小三角形,可以拉动它来改变颜色。你可以把这三条都拉一下,再看前景色有什么变化。 最右边的数字框也可以直接填入由0到255之间的数字。例如:在R(红)里,填入217;在G (绿)里,填入253;在B里填入249,现在前景色变成了浅绿色。这是RGB三原色组合表示一种颜色的方法。最下面有一个多彩的粗条,在这里可以多选一些颜色。最右边是黑白两色。这个颜色面板是非常方便来选择颜色的。更直观的方法是点“色板”标签。

ArcGis软件系统安装详细步骤

安装必备程序: 1.Oracle10. 2.0.1.0服务端安装包 2.Oracle10.2.0. 3.0服务端补丁集 3.Oracle10.2.0.3.0客户端安装包 4.desktop9.3安装包及ARCGIS 9.3LIC注册授权安装包 5.ArcSde9.3安装包 6.Server93安装包 安装顺序: Oracle10.2.0.1.0server端—>安装补丁集—>创建数据库(向导)—>安装客户端—>安装desktop—>安装sde—>ArcGisServer—>数据处理及发布 一、安装Arcdesktop及licence 1.先安装arcmap,启动f:\arcgis下desktop93.iso文件,弹出安装界面,选择ArcGIS Desktop,点击next。

2.选择稍后安装License Manager 3.选择安装ArcInfo,进入安装状态

4.开始安装Lincense Manager ,选择desktop93.iso安装程序集下 Desktop\License\LMSetup.exe文件。 5.选择第二个选项,并点击Create,在弹出的页面中选择edit,弹出如下界面,输入 “nniso love you”,点击ok后开始正式安装。

6.将F:\ARCGIS 9.3LIC\ArcGis.Desktop.v9.3.Crack.all\license_server_crackcrcak下所有文 件共5个,copy到C:\Program Files\ESRI\License\arcgis9x下,并修改Arc_Info9.lic,第一行server名字改成本机名,服务器为svr227。

PS经典实例教程

用Photoshop从无到有绘制一种仿真的水纹效果。 新建500*500像素的RGB模式文件。快捷键D把前景色设置为黑,背景色设置为白。[滤镜]―[渲染]―[云彩],[滤镜]―[模糊]―[径向模糊],数量23,模糊方法―旋转,品质―最好,[滤镜]―[模糊]―[高斯模糊]5.5,[滤镜]―[素描]―[基底凸现],细节12,平滑度10,光照方向―底,[滤镜]―[素描]―[铬黄]细节7,平滑度4,[滤镜]―[扭曲]―[旋转扭曲],角度120,[滤镜]―[扭曲]―[水波],数量12%,起伏5,按快捷键CTRL+U或者[图像]―[调整]―[色相/饱和度]命令,在弹出的对话框中选择着色,色相调整为205,饱和度为55。得到最终结果。 利用PS画笔模拟在水雾玻璃上写字 打开雨滴玻璃素材,进行色相/饱和度调整,色相10,饱和度35。执行图象--调整-去色。图象-图象大小,将背景图象改为600*450.拉入汽车素材图,改模式为叠加。将背景素材图进行滤镜--模糊--高斯模糊5.5。后设置画笔 在所有图层上新建图层“字体”,用黑色画笔写出字体。用15px的画笔画出墨迹滴落的感觉。在所有图层上新建图层编辑填充白色,改模式为柔光,不透明度55%。、最后我们将字体图层的不透明度改为85%。 Photoshop制作华丽的花纹黄金字 新建一1280×1024像素的文件。设置前景色#6a000c,背景色#111111。选择渐变工具,选择前景色到背景渐变,径向渐变。后从文档中心拖动到其中一个角

落来创建渐变。 用文字工具,创建文字,颜色#f9df78。复制文字图层两次,第二个副本的填充值改为0。双击文字图层应用如下图层样式。斜面和浮雕:样式“描边浮雕”,深度250 ,光泽等高线选择环形—双,勾选消除锯齿,高光模式为“线性光”,阴影模式颜色#96794b。理:选择素材图案,可先存下图的纹理素材,打开后定义为图案。

淘宝网店二维码使用教程全攻略

一、什么是二维码——还记得超市的条形码吗? 谈起“二维码”,可能很多人会犯糊涂。但是与它类似的“一维条形码”广泛地运用于超市商品识别,却是我们每个人都十分熟悉的。二维码正是“一维条形码”发展的“高级阶段”,在一个小小的方块里面包含一条链接地址,引导使用者通过扫描设备(如手机)快速进入相应 的网址。 图1:一维条形码图2:淘宝二维码 现在,淘宝为卖家们提供二维码在线生成的工具,您可以将您的店铺和宝贝的“手机浏览链接”转化成二维码印制出来,夹在包裹中、印在优惠券上甚至是你的商品上。举例来说,接收包裹时,买家拿到印有二位码的优惠券,此时,他们只需用手机的摄像头“照”一下这个黑白相间的小方块,就可以快速地通过手机进入您的店铺中。二维码还有更多的妙用和更多的好处。 图3:生活中使用淘宝二维码的场景

二、淘宝二维码妙在何处——轻轻一扫客源不断! 1. 好处在哪里? 淘宝买家通过手机上的二维码识别软件,扫描卖家发布的淘宝二维码,可以直接找到卖家的促销活动,店铺首页,宝贝单品。免去输入网址、关键词搜索的麻烦。 淘宝卖家可以将二维码印刷到包裹中的宣传物上(如优惠券、宣传册),随包裹发给买家,吸引买家通过二维码进入店铺进行二次购买,为您带来源源不断的客流。 您可以在PC店铺和商品详情页中贴出二维码,使顾客可以在手机中快速收藏,随时随地光顾您的店铺! 卖家还可以考虑在平面媒体(如《淘宝天下》)上发布带有二维码的促销活动。对于有能力大卖家,还可以在自己的商品上贴上相应的二维码。 图4:二维码的引流作用 2. 买家的操作方法 有软件和摄像头的买家:淘宝合作的免费二维码软件有淘宝Android版、快拍、QuickMark、码上淘、魔印等,手机访问https://www.sodocs.net/doc/b914330933.html,可快速下载。这些手机软件提供二维码扫描功能,只要买家打开这些软件,将摄像头对准二维码1秒中左右,识别成功后手机将自动进入对应的网页。 没有软件或者摄像头的买家可以在手机上进入https://www.sodocs.net/doc/b914330933.html,页面,输入活动码,同样能够进入到您所设置的链接中。 图5:买家的使用方法

ps几个实例教程

照片名称:调出照片柔和的蓝黄色-简单方法, 1、打开原图素材,按Ctrl + J把背景图层复制一层,点通道面板,选择蓝色通道,图像 > 应用图像,图层为背景,混合为正片叠底,不透明度50%,反相打钩, 2、回到图层面板,创建曲线调整图层,蓝通道:44,182,红通道:89,108 3、新建一个图层,填充黑色,图层混合模式为正片叠底,不透明度为60%,选择椭圆选框工具选区中间部分,按Ctrl + Alt + D羽化,数值为70,然后按两下Delete键删除,再打上文字,完成最终效果。 照片名称:调出照片漂亮的红黄色, 1、打开原图素材,Ctrl + J把背景图层复制一层,按Ctrl + Shift + U去色,执行:滤镜 > 模糊 > 高斯模糊,数值4,图层混合模式为滤色,图层不透明度改为27%。 2、新建一个图层,按Ctrl + Alt + Shift + E盖印图层,图层混合模式为颜色。 3、创建渐变映射调整图层,紫色-橘色,Alt+Ctrl + G加上剪贴蒙版。 4、创建亮度/对比度调整图层,-5,-21 5、创建智能锐化调整图层,设置为默认值,数量46,半径0.8,高斯模糊, 6、创建色阶调整图层,8,1.00,255 7、创建可选颜色调整图层,红色:+17,-8,+16,0,黄色:-54,0,+10,+18,白色:-5,0,0,0,

照片名称:打造美女冷艳张扬蓝色调, 1、在做图之前,可以先对图进行:图像-调整-自动色阶,自动对比度,自动颜色的调节, 2、打开原图,复制背景图层, 3、新建色相饱和度调整层,红:-80,黄:-80,蓝:+32 4、色彩平衡:-20,+20,+50 5、曲线调整,数值:38,36 6、色相饱和度,蓝色:-45 7、可选颜色,青:-10,+20,+24,0,蓝色:+20,0,+10,0,中性色:(黑色)+5,黑色:(黑色)+5 8、载入蓝色通道选区,做曲线调节,数值:74,119 9、亮度对比度:0,+10 10、盖印所有图层,(Ctrl+Shift+Alt+E),把图片进行磨皮等简单柔化处理, 11、把开始复制的背景副本层置顶,混合模式为柔光,不透明度25% 12、再次盖印所有图层,打上光照效果,滤镜-渲染-光照效果,不透明度50%,其他数值为默认值。添加蒙版,把曝光过度的地方擦回来, 13、刷上自己喜欢的笔刷,添加文字,完成

dw网页淘宝设计模板

竭诚为您提供优质文档/双击可除dw网页淘宝设计模板 篇一:dreamweaver在淘宝美工课中的应用 泉州新东方叶生方老师整理 dreamweaver在淘宝美工课中的应用 一、店铺装修(网页技术) 专业型课程分两个阶段:1、店铺装修基础阶段,2、html+css行内样式部分。 (一)实用型阶段——店铺装修基础 1、认识旺铺及类型、模板、模块、布局;旺铺20xx标准版拓展版20xx新版 2、ps切片导出web代码 3、dw表格排版 4、分类导航设计——ps切片、dw表格、热区三种技术综合运用 5、旺铺宽屏效果制作(页面背景、店头自定义、自定义大图三部分组成) 6、图片背景、旺旺代码、收藏代码、滚动代码 7、识别哪些效果属于模块功能,那些效果可以自定义

实现,常用的促销工具(限时打折、满就送、团购宝、搭配减价、会员管理等) 8、淘宝首页整体风格设计、“宝贝描详情页”风格设计 9、html常用标注介绍table、tR、td、img、a等用功能 (二)专业提升阶段——html+css行内样式 10、dw使用的注意要点以及认识tabletRtdFontdiVspanh1imgapliulmarquee等常用标注。 11、表格结构分析,学会手写简单的网页代码 12、认识css盒子模型 13、了解css排版基本方法——实际表格相似导航效果 14、运用css设计宝贝详情页版式、利用p、img标注的css属性设置版式 15、css美化表格 16、了解淘宝widget库的作用与意义——悬浮旺旺、宝贝放大镜等等特效 篇二:用dw做出漂亮的模板 如何用dw做出漂亮的促销表格模板 很多的卖家在逛淘宝店时会发现很多的店铺装修很美观,如打折模块和团购模块,自己想去问店主怎么制作,但是有几个淘宝卖家会告诉你呢,不过不要着急,下面让开淘网为你详解一番吧。今天我们讲的是如何用dReamweaVeR做

ArcGISServer安装与破解图文教程

A r c G I S S e r v e r10.2安装与破解图文教程 ArcGISServer是ESRI公司的ArcGIS系列产品家族中的服务端产品,基于ArcGISServer可以构建Web地图服务,开发WebGIS系统。 通过万能地图下载器下载的ArcGISServer瓦片服务,可以用ArcGISServer进行发布。 你可以通过以下地址下载安装包: 下载解压之后,用虚拟光盘打开ISO文件(Win10系统直接双击打开),然后双击ESRI.exe 开始安装。 进入安装界面后,点击“Setup”安装ArcGISforServer开始安装。 点击“Next”按钮,进入下一步安装界面。 选择同接受许可协议后,点击“Next”按钮,进入下一步安装界面。 点击“Next”按钮,进入下一步安装界面。 点击“Next”按钮,进入下一步安装界面。 设置一个能记住的帐户和密码,点击“Next”按钮,进入下一步安装界面。 点击“Next”按钮,进入下一步安装界面。 点击“Install”按钮,开始安装软件。 正在安装软件,速度根据电脑运行效率不同而不现,一般大概需要几分钟左右。 点击“Finish”按钮,完成安装。 选择立即用许可文件认片,然后点击“Browse...”按钮。 选择压缩包中的许可文件并点击“打开”按钮。 点击“下一步”按钮开始授权。 授权成功之后,点击“完成”按钮。 安装完成后会自动打开一个Web页面,在该页面中可以创建一个站点,设置一个能记住的管理员帐户。

点击“下一步”按钮进行站点配置。点击“完成”按钮,进行站点配置。正在进行站点创建。 创建完成后,用设置的帐号登录。站点创建完成。

ARCGIS 9.3安装破解手册_最完整 版

arcgis9.3安装步骤 安装+破解所需文件: arcgis9.3安装包(rar或者iso),约为3.5G;(网上可下载) License_server_setup文件夹(37102011.efl9,LMSetup.exe) license_server_crack文件夹(Arc_info9.lic,Lmgrd,lmtools,arcgis,lmutil) data_interop文件夹(fme_license)。(后面这些破解补丁学院FTP可下载) 一、安装ArcGIs Desktop9.3 1.打开下载的ArcGIS Desktop9.3,找到ESRI光盘启动程序(硬盘上的安装文件也行),打开它。 2.在弹出的启动界面上选择ArcView(注:此处选择ArcView并不表示您将来使用的就是ArcView,其实安装ArcView与ArcInfo只是用户许可的区别。如果您有ArcInfo的许可,即使这里安装的是ArcView,您将使用的是ArcInfo.在这里与ArcGis Desktop9.2的安装有些区别。) 3.在弹出的startup界面上选择Install ArcGIS Desktop 4.下面步骤的安装基本上可以直接点Next按钮。在安装结束后,暂时不需要理会许可,关闭即可。 二、破解ArcGIS Desktop9.3 打开下载的破解文件夹,其中包含以下的文件: data_interop、license_server_crack、license_server_setup、 ESRI.ArcGis.Desktop.v9.3.ISO-TBE.nfo 1.运行license_server_setup\LMSetup.exe程序,如下图所示,点击Browse按钮,选择通过注册文件获取授权,选择license_server_setup文件夹中的37102011.efl9文件作为许可文件。点击next 按钮,直到完成安装。 注:在安装结束时,请选择Not restart computer.若不小心重启,请在系统启动后在任务管理器中先结束ArcGIS和lmgrd进程。 2.复制license_server_crack文件夹中所有文件到license manager的安装目录 “c:\program files\esri\license\arcgis9x”下并覆盖原文件。 3.用记事本打开37102011.efl9,把START_LICENSE与END_LICENSE之间的内容复制一下,然后粘到c:\program files\esri\license\arcgis9x\Arc_Info9.lic中的“VENDOR ARCGIS”下面,把原来下面的内容全覆盖;还要将第一行主机名改为你机器的名字或者IP (如SERVER pan-reload ESRI_SENTINEL_KEY=3710201127001)。 (点击我的电脑右键-属性-计算机名-完整的计算机名称,复制之替代Arc_Info9.lic中的 pan-reload(比如我的计算机名称为oy,改为oy即可)和37102011.efl9中的this_host) 不知道自己ip的可以点击开始-运行-输入cmd回车-输入ipconfig/all查看IP Address后面的就是你的IP。 这里提醒下你的机器名绝对不要是中文名,一定要是英文名,否则写IP都没用。查看和更改方法:打开我的电脑属性-计算机名-完整的计算及名称-这里如果是中文的话点击下面的“更改”输入一个英文名重启机器即可。 (如改为SERVER192.168.10.100ESRI_SENTINEL_KEY=3710201127001)这里我写的是自己计算机的ip,你可以写计算机名,不知道自己机器的名字或者IP的可以点击开始-运行-输入cmd回车-输入ipconfig/all查看IP Address后面的就是你的IP

相关主题