搜档网
当前位置:搜档网 › 常见硬件工程师笔试题标准答案精编版

常见硬件工程师笔试题标准答案精编版

常见硬件工程师笔试题标准答案精编版
常见硬件工程师笔试题标准答案精编版

常见硬件工程师笔试题

标准答案

集团企业公司编码:(LL3698-KKI1269-TM2483-LUI12689-ITT289-

硬件工程师笔试题

一、电路分析:

1、竞争与冒险

在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。

常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。

2、同步与异步

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。

异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步

同步就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就是接收方不知道发送方什么时候发送,

所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位

3、仿真软件:Proteus

4、Setup和Holdtime

Setup/holdtime是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setuptime.如不满足setuptime,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果holdtime不够,数据同样不能被打入触发器。

5、IC设计中同步复位与异步复位的区别

同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

6、常用的电平标准

TTL:transistor-transistorlogicgate晶体管-晶体管逻辑门CMOS:ComplementaryMetalOxideSemiconductor互补金属氧化物半导体LVTTL(LowVoltageTTL)、LVCMOS(LowVoltageCMOS):3.3V、2.5V

RS232、RS485

7、TTL电平与CMOS电平

TTL电平和CMOS电平标准

TTL电平:5V供电

输出L:<0.4V;H:>2.4V1

输入L:<0.8V;H:>2.0V 0

CMOS电平:(一般是12V供电)

输出L:<0.1*Vcc;H:>0.9*Vcc

输入L:<0.3*Vcc;H:>0.7*Vcc.

CMOS电路临界值(电压为+5V)

VOHmin=4.5VVOLmax=0.5V VIHmin=3.5VVILmax=1.5V

特性区别:

CMOS是场效应管构成,TTL为双极晶体管构成;

CMOS的逻辑电平范围比较大(3~15V),TTL只能在5V下工作;CMOS的高低电平之间相差比较大、抗干扰性强,TTL则相差小,抗干扰能力差;

CMOS功耗很小,TTL功耗较大(1~5mA/门);

CMOS的工作频率较TTL略低,但是高速CMOS速度与TTL差不多相当。8、RS232、RS485

RS232:采用三线制传输分别为TXD\RXD\GND,其中TXD为发送信号,RXD 为接收信号。

全双工,在RS232中任何一条信号线的电压均为负逻辑关系。即:-15v~-3v代表1

+3v~+15v代表0

RS485:采用差分传输(平衡传输)的方式,半双工,一般有两个引脚A、B。AB间的电势差U为UA-UB:

不带终端电阻AB电势差:+2~+6v逻辑‘1’;

-2~-6v逻辑‘0’;

带终端电阻AB电势差:大于+200mv逻辑‘1’;

小于-200mv逻辑‘0’;注意:AB之间的电压差不小于200mv。

波特率计算:如图,传输9bit(1起始位+8数据位)花费的时间为

79us。1s传输的数据量为1/0.000079*9=113924,可以推测波特设置的波特率为115200。RS485的波特率计算同理。(二进制系统中,波特率等于比特率)

终端电阻其目的就是消耗通信电缆中的信号反射,其原因有两个:阻抗不连续喝阻抗不匹

配。

9、CANBUS

要点(显性与隐性电平):

显性位即无论总线上各节点想将总线驱动成什么样的电平,只要有一个节点驱动为显性位,则总线表现为显性位的电平;隐性位正好相反,只有各节点都不将总线驱动成显性位的电平,总线才表现为隐性位对应的电平。显性位电平为Vh-Vl=2V,逻辑上为“0”;隐性位电平为Vh-Vl=0V,逻辑上为“1”。

CAN总线在没有节点传输报文时是一直处于隐性状态。当有节点传输报文时显性覆盖隐性,由于CAN总线是一种串行总线,也就是说报文是一位一位的传输的,而且是数字信号(0和1),1代表隐性,0代表显性。在传送报文的过程中是显隐交替的,就像二进制数字0101001等,这样就能把信息发送出去,而总线空闲的时候是一直处于隐性的。

“显性”具有“优先”的意味,总线上执行逻辑上的线“与”时,只要有一个单元输出显性电平,总线上即为显性电平;只有所有的单元都输出隐性电平,总线上才为隐性电平。(显性电平比隐性电平更强)

隐性(逻辑‘1’):H=2.5V,L=2.5V,H-L=0V

显示(逻辑‘0’):H=3.5V,L=1.5V,H-L=2V

共同点:CAN_BUS空闲状态为隐性状态,相当于串口通信(232/485)的停止位‘1’;当准备发送数据时,CAN_BUS的状态由隐性变成显性,相当于串口通信(232/485)的起始位‘0’。

10、KNXBUS

1、概述:KNX是Konnex的缩写。1999年5月,欧洲三大总线协议EIB、BatiBus和EHSA合并成立了Konnex协会,提出了KNX协议。该协议以EIB为基础,兼顾了BatiBus和EHSA的物理层规范,并吸收了BatiBus和EHSA中配置模式等优点,提供了家庭、楼宇自动化的完整解决方案。

2、总线框架:

A、总线—区域总线(15条)—主干道(15条)—总线设备(64个)

B、15*15*64=14400个设备

C、三种结构:线形、树形、和星形

D、KNX总线协议遵循OSI模型协议规范,并进行了合理的简化。由物理层、数据链接层、网络层、传输层和应用层组成,会话层和表示层的功能则并入应用层与传输层

3、配置模式:

A、S-Mode(system系统模式)

B、E-Mode(Essential简单模式)

4、所有的总线设备连接到KNX介质上(这些介质包括双绞线、射频、电力线或IP/Ethernet),它们可以进行信息交换。总线设备可以是传感器也可以是执行器,所有这些功能通过一个统一的系统就可以进行控制、监视和发送信号,不需要额外的控制中心。

5、KNX电缆由一对双绞线组成,其中一条双绞线用于数据传输(红色为CE+黑色为CE-),另一条双绞线给电子器件提供电源。

6、所有的信号在总线上都是以串行异步传输(广播)的形式进行传播,也就是说在任何时候,所有的总线设备总是同时接收到总线上的信息,只要总线上不再传输信息时,总线设备即可独立决定将报文发送到总线上。

11、SPI是串行外设接口(SerialPeripheralInterface)

是一种高速的,全双工,同步的通信总线,至少四根线;

SDI(数据输入)、SDO(数据输出)、SCLK(时钟)、CS(使能)。

12、以太网

13、推挽电路和开漏输出

推挽输出:可以输出高,低电平,连接数字器件;推挽结构一般是指两个三极管的B极和E极接在一起,总是一个三极管导通时另一个三极管截止。

开漏输出:输出端相当于一个NPN三极管,集电极悬空,只能输出低电平或者高阻态,必须加一个上拉电阻输出高电平。开漏输出可以将多个输出短接,共用一个上拉,此时这些开漏输出的驱动PIN_A、PIN_B、PIN_C“与”的关系。

14、DC-DC电源和LDO电源

LDO:lowdropoutvoltageregulator低压差线性稳压器,故名思意,为线性的稳压器,仅能使用在降压应用中。也就是输出电压必需小于输入电压。优点:稳定性好,负载响应快。输出纹波小,外围元器件少。

缺点:效率低,输入输出的电压差不能太大。负载不能太大,目前最大的LDO为5A(但要保证5A的输出还有很多的限制条件)

DC/DC:直流电压转直流电压。严格来讲,LDO也是DC/DC的一种,但目前DC/DC多指开关电源。包括boost(升压)、buck(降压)、

Boost/buck(升/降压)和反相结构,具有高效率、高输出电流、低静态电流等特点,随着集成度的提高,许多新型DC-DC转换器的外围电路仅需电感和滤波电容;但该类电源控制器的输出纹波和开关噪声较大、成本相对较高。

优点:效率高,输入电压范围较宽。

缺点:负载响应比LDO差,输出纹波比LDO大。

15、基尔霍夫定律

电压定律(回路定律):电路中沿任何一个回路的所有电压的代数和为0;

电流定律(节点定律):流入一个节点的所有电流之和等于流出该节点的所有电流之和。

16、数字电路和模拟电路区别

数字电路只关心高低电平,模拟电路是连续变化的模拟量,表现形式为电压和电流的连续波动

二、常用的元器件:

1、电阻resistance:固定电阻(色环电阻/贴片电阻)、热敏电阻、光敏电阻、数字可调电阻

基本作用:限制电流和调节电压;

2、电容capacitance:陶瓷电容、铝电解电容、薄膜电容、纸介电容、云母电容

基本作用:存储能量(以电场方式)和隔直通交(滤波/旁路);

容抗和电容成反比,和频率也成反比。如果容抗用Xc表示,电容用C表示,频率用f表示,那么Xc=1/(2πfC)

3、电感inductance:磁芯电感、空心电感、可调电感、阻流电感

基本作用:存储能量(以磁场方式)和阻交通直(抑制流过它的电流突然变化)

电磁感应只有在外施电压或者电流随时间增大或减小的变化过程中才会产生。

重点:电感的能量存储特性可以被用在开关电源电路中,如图升压电路。当mos管打开,电感存储能量,由二极管隔断的负载由电容存储能量供给。当MOS管关断时,存储在mos管的能量叠加到5V电源(达到升压的效果)。此时,电感给电容充电,同时供给负载电流。

4、磁珠:用于抑制信号线、电源线上的高频噪声和尖峰干扰,还具有吸收静电脉冲的能力。磁珠是用来吸收超高频信号,像一些RF电路,PLL,振荡电路,含超高频存储器电路(DDRSDRAM,RAMBUS等)都需要在电源输入部分加磁珠,而电感是一种蓄能元件,用在LC振荡电路,中低频的滤波电路等,其应用频率范围很少超过50MHZ。磁珠有很高的电阻率和磁导率,等效于电阻和电感串联,但电阻值和电感值都随频率变化。

4、二极管:正向导通,反向截至;(PN结二极管、肖特基二极管、稳压(齐纳)二极管、发光二极管、变容二极管)

硅管:压降是0.7V左右,耐压高但开关速度慢,常用低频整流和开关;

锗管:压降是0.2V左右,阈值电压小,常用于RF信号检测和低电压电平电路;

肖特基二极管:压降是0.4V左右,耐压低但开关速度快,常用高频整流和开关。

二极管选项考虑五大因素:反向峰值电压/最大整流电流/响应速度/反向漏电流/最大正向压降。

5、三极管(晶体管):NPN,PNP三极管;用于开关和放大电路

术语:截止区、放大区、饱和区、偏置和静态工作点Q。

放大区电流增益:Ic=B*Ib,B是电流增益,典型值10-500,Ic最大为80-600mA。只有工作在放大区,即对于流过晶体管的电流和加在晶体管电压大小都有限制的,才存在电流增益。当Ib过大或过小,放大系数B都会变小。只有Ib为常量,即静态工作点才由有最大的电流增益。

放大区:Ie=Ic+Ib=(B+1)Ib

达林顿管:把两个三极管连在一起,工作电流更大,放大倍数B更大(2B)等效晶体管电路。

6、MOS管(场效应管):结型场效应管、金属氧化物晶体管(耗尽型和增强型)、单结场效应管。除了增强型导通方向跟晶体管一致,其他均相反。常用是增强型。

普通晶体管是电流控制元件,通过控制基极电流达到控制集电极电流或发射极电流的目的,到信号源必须提供一定的电流才能工作。

MOS管则是电压控制元件,它的输出电流决定于输入端电压的大小,基本上不需要信号源提供电流,所以它的输入电阻很高,这是它的突出特点。它广泛应用于放大电路和数字电路。

7、运算放大器

负反馈:把输出信号反馈到反相输入端,输出端输出信号电压取决与反馈电阻。

计算公式:Vout=-Vin(Rf/Rin)。反馈电阻越大,输出越大,输出反馈到输入的值就越小。

8、逻辑门电路

非门NOT、与门AND、与非门NAND、或门OR、或非门NOR

异或门XOR 同或门XNOR

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

硬件工程师面试题集(含答案,很全).docx

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) ---ReaLYamede 1下面是一些基本的数字电路知识问题,请简要回答之。 ⑴什么是SetUP和HOld时间? 答:SetUP/Hold Time用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(SetUP Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信 号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间通常所说的SetUPTime。如不满足SetUP Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号 上升沿到来以后,数据保持稳定不变的时间。如果Hold Time不够,数据同样不能被打入 触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会 不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出 端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒 险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D触发器实现2倍分频的逻辑电路 答:把D触发器的输出端加非门接到D端即可,如下图所示: OIJTPUT CLK (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC门,应在OC门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运 作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号 使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效 能、模块性、可组合和可复用性。 ⑺你知道那些常用逻辑电平?TTL与CoMS电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL> CML、HSTL、SSTL 等。 一般说来,CMOS电平比TTL电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL与CMOS器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

硬件工程师笔试题附答案

一、填空题(每题5分,8题,共40分) 1.二极管的导通电压一般是0.7V 。 2.MOS管根据掺杂类型可以分为NMOS 、PMOS 。 3.晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和状态。 4.二进制数(11010010)2转换成十六进制数是D2 。 5.贴片电阻上的103代表10k。 6.输出使用OC门或OD门实现线与功能。 7.假设A传输线的特征阻抗是70欧姆,B传输线的特征阻抗是30欧姆,A传输线与B传输线相 连,那么它们之间的反射系数是0.4。(-0.4也可以是正确答案) 8.假设模拟信号的输入带宽是10Hz~1MHz,对信号进行无失真采样的最低频率是 2MHz 。 二、问答题(每题10分,6题,共60分) 1.单片机上电后没有运转,首先要检查什么?(10分) 答案:第一步,测量电源电压是否正常;第二步,测量复位引脚是否正常;第三步,测量外部晶振是否起振。2.请分别画出BUCK和BOOST电路的原理框图。(10分) BUCK电路: BOOST电路: 3.请画出SAR型(逐次逼近型)ADC的原理框图,或者描述SAR型ADC的工作原理。(10 分)

SAR型ADC包括采样保持电路(S/H)、比较器(COMP ARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER) 和逻辑控制单元(SAR L OGIC)。模拟输入电压VIN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR L OGIC 控制N位寄存器设置在中间刻度,即令最高有效位MSB为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压VDAC为0.5VREF,其中VREF为提供给ADC的基准电压。由比较器对VIN和VDAC进行比较,若VIN>VDAC ,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若VN

硬件工程师经典面试100 题

硬件经典面试100 题(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:AVX、VISHAY 威世 日本:KOA 兴亚、Kyocera 京瓷、muRata 村田、Panasonic 松下、ROHM 罗姆、susumu、TDK 台湾: LIZ 丽智、PHYCOM 飞元、RALEC 旺诠、ROYALOHM 厚生、SUPEROHM 美隆、TA-I 大毅、TMTEC 泰铭、TOKEN 德键、TYOHM 幸亚、UniOhm 厚声、VITROHM、VIKING 光颉、WALSIN 华新科、YAGEO 国巨 新加坡:ASJ 中国:FH 风华、捷比信 电容: 美国:AVX、KEMET 基美、Skywell 泽天、VISHAY 威世 英国:NOVER 诺华德国:EPCOS、WIMA 威马丹麦:JENSEN 战神 日本:ELNA 伊娜、FUJITSU 富士通、HITACHI 日立、KOA 兴亚、Kyocera 京瓷、Matsushita 松下、muRata 村田、NEC、 nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic 松下、Raycon 威康、Rubycon(红 宝石)、SANYO 三洋、TAIYO YUDEN 太诱、TDK、TK 东信 韩国: SAMSUNG 三星、SAMWHA 三和、SAMYOUNG 三莹 台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE 金山、EVERCON、EYANG 宇阳、GEMCON 至美、 GSC 杰商、G-Luxon 世昕、HEC 禾伸堂、HERMEI 合美电机、JACKCON 融欣、JPCON 正邦、LELON 立隆、LTEC 辉城、 OST 奥斯特、SACON 士康、SUSCON 冠佐、TAICON 台康、TEAPO 智宝、WALSIN 华新科、YAGEO 国巨 香港:FUJICON 富之光、SAMXON 万裕中国:AiSHi 艾华科技、Chang 常州华威电子、FCON 深圳金富康、FH 广东 风华、HEC 东阳光、JIANGHAI 南通江海、JICON 吉光电子、LM 佛山利明、R.M 佛山三水日明电子、Rukycon 海丰三力、 Sancon 海门三鑫、SEACON 深圳鑫龙茂电子、SHENGDA 扬州升达、TAI-TECH 台庆、TF 南通同飞、TEAMYOUNG 天 扬、QIFA 奇发电子 电感: 美国:AEM、AVX、Coilcraft 线艺、Pulse 普思、VISHAY 威世 德国:EPCOS、WE 日本:KOA 兴亚、muRata 村田、Panasonic 松下、sumida 胜美达、TAIYO YUDEN 太诱、TDK、TOKO、TOREX 特瑞仕 台湾:CHILISIN 奇力新、https://www.sodocs.net/doc/bd1927921.html,yers 美磊、TAI-TECH 台庆、TOKEN 德键、VIKING 光颉、WALSIN 华新科、YAGEO 国 巨 中国:Gausstek 丰晶、GLE 格莱尔、FH 风华、CODACA 科达嘉、Sunlord 顺络、紫泰荆、肇庆英达

常见硬件工程师笔试题(标准答案)

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步 同步就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开 始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 和Hold time Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器 的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升 沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系 不确定,也可能出现亚稳态。 6、常用的电平标准 TTL:transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(L ow Voltage TTL)、LVCMOS(L ow Voltage CMOS):3.3V、2.5V RS232、RS485 7、TTL电平与CMOS电平 TTL电平和CMOS电平标准

硬件工程师笔试面试题目

硬件工程师笔试题目 关键词:硬件工程师笔试题目 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反 馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<时,给出输入电压波形图,绘制两种电路的输出波形图。(未知) 16、有源滤波器和无源滤波器的原理及区别?(新太硬件) 17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知) 18、选择电阻时要考虑什么?(东信笔试题) 19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P 管还是N管,为什么?(仕兰微电子) 20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题) 21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

硬件工程师面试题一

硬件一些工程师面试题 1. 硬件工程师的主要职责是什么 数字电路和模拟电路的区别。在硬件设计是应该注意什么 2. 总线是什么概念什么原理常用的总线有哪些 各种存储器的详细性能介绍、设计要点及选型. 描述反馈电路的概念,列举他们的应用。 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。 负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压负反馈的特点:电路的输出电压趋向于维持恒定。 电流负反馈的特点:电路的输出电流趋向于维持恒定。 3、有源滤波器和无源滤波器的区别 无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 同步电路和异步电路的区别是什么 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求 将两个门电路的输出端并联以实现与逻辑的功能成为线与。 在硬件上,要用OC门来实现,同时在输出端口加一个上拉电阻。 由于不用OC门可能使灌电流过大,而烧坏逻辑门。 上拉电阻阻值的选择原则包括: 1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。 2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。 3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑 以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理 //OC门电路必须加上拉电阻,以提高输出的搞电平值。 OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平 在有时我们用OC门作驱动(例如控制一个 LED)灌电流工作时就可以不加上拉电阻 OC门可以实现“线与”运算 OC门就是集电极开路输出 总之加上拉电阻能够提高驱动能力。 如何解决亚稳态。(飞利浦-大唐笔试) 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

硬件工程师面试题集含答案

精品文档 硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) ---Real_Yamede 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是 Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。(2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用 D 触发器实现 2 倍分频的逻辑电路 答:把 D 触发器的输出端加非门接到 D 端即可,如下图所示: (4) 什么是线与逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般

硬件工程师面试试题库(附参考答案)

硬件工程师面试试题库(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:A VX、VISHAY威世日本:KOA兴亚、Kyocera京瓷、muRata村田、Panasonic松下、ROHM罗姆、susumu、TDK 台湾: LIZ丽智、PHYCOM飞元、RALEC旺诠、ROYALOHM厚生、SUPEROHM美隆、TA-I大毅、TMTEC泰铭、TOKEN 德键、TYOHM幸亚、UniOhm厚声、VITROHM、VIKING光颉、WALSIN华新科、YAGEO国巨新加坡:ASJ 中国:FH风华、捷比信 电容: 美国:A VX、KEMET基美、Skywell泽天、VISHAY威世英国:NOVER诺华德国:EPCOS、WIMA威马丹麦:JENSEN 战神日本:ELNA伊娜、FUJITSU富士通、HITACHI日立、KOA兴亚、Kyocera京瓷、Matsushita松下、muRata村田、NEC、nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic松下、Raycon威康、Rubycon(红宝石)、SANYO三洋、TAIYO YUDEN太诱、TDK、TK东信韩国:SAMSUNG三星、SAMWHA三和、SAMYOUNG三莹台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE金山、EVERCON、EYANG宇阳、GEMCON至美、GSC杰商、G-Luxon世昕、HEC禾伸堂、HERMEI合美电机、JACKCON融欣、JPCON正邦、LELON立隆、LTEC辉城、OST奥斯特、SACON 士康、SUSCON 冠佐、TAICON台康、TEAPO智宝、WALSIN华新科、YAGEO国巨香港:FUJICON富之光、SAMXON万裕中国:AiSHi艾华科技、Chang常州华威电子、FCON深圳金富康、FH广东风华、HEC东阳光、JIANGHAI南通江海、JICON吉光电子、LM佛山利明、R.M佛山三水日明电子、Rukycon海丰三力、Sancon海门三鑫、SEACON深圳鑫龙茂电子、SHENGDA扬州升达、TAI-TECH台庆、TF南通同飞、TEAMYOUNG天扬、QIFA奇发电子 电感: 美国:AEM、A VX、Coilcraft线艺、Pulse普思、VISHAY威世德国:EPCOS、WE 日本:KOA兴亚、muRata村田、Panasonic松下、sumida胜美达、TAIYO YUDEN太诱、TDK、TOKO、TOREX特瑞仕台湾:CHILISIN奇力新、https://www.sodocs.net/doc/bd1927921.html,yers 美磊、TAI-TECH台庆、TOKEN德键、VIKING光颉、WALSIN华新科、YAGEO国巨中国:Gausstek丰晶、GLE格莱尔、FH风华、CODACA科达嘉、Sunlord顺络、紫泰荆、肇庆英达 2、请解释电阻、电容、电感封装的含义:0402、060 3、0805。 表示的是尺寸参数。 0402:40*20mil;0603:60*30mil;0805:80*50mil。 3、请说明以下字母所代表的电容的精度:J、K、M、Z。 J——±5%;K——±10%;M——±20%;Z——+80%~-20% 4、请问电阻、电容、电感的封装大小分别与什么参数有关? 电阻封装大小与电阻值、额定功率有关;电容封装大小与电容值、额定电压有关;电感封装大小与电感量、额定电流有关。 5、如何根据实际工作电压选择电容的额定电压参数? 基于成本和使用安全考虑,选择的电容额定电压应该是实际工作电压的1.5~2倍。 6、电容两端的电压和电流的相位关系是:同相、反相、电压超前电流90°、电流超前电压90°? 电流超前电压90°。 7、如果某CPU有很多IO端口需要接上下拉电阻,电阻范围1~10K欧姆均可。以下规格的电阻,您会选择哪一种:1K/1%、 4.99K/1%、10K/1%、1K/5%、2.2K/5%、4.7K/5%、8.2K/5%、10K/5%、3.9K/10%、 5.6K/10%、4.7K/20%?说明你选择该 电阻的理由。 从理论上来说,1~10K的电阻都可以采用,但如果从价格上考虑,当然是4.7K/20%的最合算。 8、请简述压敏电阻工作原理。 当压敏电阻上的电压超过一定幅度时,电阻的阻值降低,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。 9、请简述PTC热敏电阻作为电源电路保险丝的工作原理。 当电源输入电压增大或负载过大导致电流异常增大的时候,PTC热敏电阻因为温度增大而使其等效电阻迅速增大,从而使输出电压下降,减小输出电流。当故障去除,PTC热敏电阻恢复到常温,其电阻又变的很小,电源电路恢复到正常工作状态。 10、常见贴片电容的材质有:X7R、X5R、Y5V、NPO(COG)、Z5U。请问电容值和介质损耗最稳定的电容是哪一种? 电容值和介质损耗最稳定的是NPO(COG)材质电容。 11、某磁珠的参数为100R@100MHz,请解释参数的含义。 在100MHz频率下的阻抗值是100欧姆。 12、请问共模电感的作用是什么?

硬件工程师模拟电路笔试题

硬件工程师模拟电路笔试题 硬件工程师模拟电路笔试题分享: 1、平板电容公式(C=S/4kd)。(未知) 2、基尔霍夫定理的内容是什么?(仕兰微电子) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC 16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

硬件测试笔试题V1-(附答案)

硬件测试工程师笔试题 (本试卷满分100分,请将答案写在答题卡上与试卷一并上交)姓名:日期:分数: 一.判断题:本题共10小题,每题2分,共20分。 1.发现错误多的模块,残留在模块中的错误也多。( ) 2.测试人员在测试过程中发现一处问题,如果问题影响不大,而自己又可以修 改,应立即将此问题正确修改,以加快、提高开发的进程。() 3.功能测试是系统测试的主要内容,检查系统的功能、性能是否与需求规格说 明相同。() 4.编写测试计划的目的是:使测试工作顺利进行,使项目参与人员沟通更舒畅, 使测试工作更加系统化。() 5.硬件测试目的是杜绝产品硬件上无任何问题。() 6.验收测试是由最终用户来实施的。() 7.钽电容最适合用来滤除高频噪音。() 8.负载测试是验证要检验的系统的能力最高能达到什么程度。() 9.无线电监测中,常用一些单位有dBuv、dBm等,dBm是功率单位。() 10.10W功率可由40dBm表示。() 二.选择题(不定向选择):本题共10小题,每题4分,共40分。 1.常见的信号完整性问题有:() A,过冲B,反射C,震荡D,环绕2.下列属于产品可靠性指标的是:() A,失效率B,平均寿命C,直通率D,可靠度E,维修度3.SRAM中文名称是:() A,动态随机存储器B,动态C,静态D,静态随机存储器4.以下几种可以做为硬件测试标准的输入:() A,用户需求B,国标C,产品规格D,硬件测试工程师经验 5.稳压管通常工作于(),来稳定直流输出电压 A,截止区B,正向导通区C,反向击穿区 6.已知如图所示放大电路中的RB=100kΩ,RC=1.5kΩ,Vcc=12V ,晶体管的β =80,UBE=0.6V。则可以判定,该晶体管处于()

华为硬件工程师面试题

华为硬件工程师面试题 各位读友大家好,此文档由网络收集而来,欢迎您下载,谢谢 华为硬件工程师面试题 1.用与非门等设计全加法器 2.给出两个门电路让你分析异同 3.名词:sram,ssram,sdram (SRAm 是静态随机存储器,DRAm是动态随机存储器!SDRAm是同步动态随机存储器,SSRAm就是同步静态随机存储器!) 4.信号与系统:在时域与频域关系 5.信号与系统:和4题差不多 6.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.. ..) 7.串行通信与同步通信异同,特点,比较 高电平脉冲对应的TTL逻辑是?(负逻辑?) 9.延时问题,判错

10.史密斯特电路,求回差电压 是什么,什么参数(压控振荡器?) 12. 用D触发器做个二分颦的电路.又问什么是状态图 13. 什么耐奎斯特定律,怎么由模拟信号转为数字信号 14. 用D触发器做个4进制的计数 15.那种排序方法最快? 一、研发(软件) 用c语言写一个递归算法求N!; 给一个c的函数,关于字符串和数组,找出错误; 防火墙是怎么实现的? 你对哪方面编程熟悉? 二、硬件 1、继电器控制电路。 2、SDRAm是怎么工作的 3、写出逻辑表达式说明A2A1A0大于B2B1B0。 4、常用触发器有几种 5、示波器有几种触发方式,举出三种

DSP2.二极管 三简答 (t)的傅立叶变换为X(jw)=$(w) $(w-PI) $(w-5) h(t)=u(t)-u(t-2) 问: (1),x(t)是周期的吗? (2),x(t)*h(t)是周期的吗? (3),两个非周期的信号卷积后可周期吗? 2.简述分组交换的特点和不足 四分析设计 1.波形变换题目 从正弦波->方波->锯齿波->方波,设计电路 计数器组成计数电路,分析几进制的 3.用D触发器构成2分频电路 4.判断mcS-51单片机的指令正确还是错误,并指出错误原因 (1) mUL R0,R1 (2) moV A,@R7 (3) moV A,#3000H (4) moVc @A DPTR,A

硬件工程师招聘试题测试.doc

. 硬件工程师岗位笔试题 姓名:学校:专业: 一、填空题(每空 2 分) 1、晶体三极管在工作时,有________、 ________和 ________ 三种工作状态;如果发射结和集 电结均处于正向偏置,该晶体管工作在________状态。 2、在 TTL 门电路的一个输入端与地之间接一个10K电阻,则相当于在该输入端输入 电平;在CMOS门电路的输入端与电源之间接一个1K电阻,相当于在该输入端输入电平。 3、一个二进制数(1101001011101100)2转换成十六进制数是________ 。 4、在各类负反馈放大电路中,能稳定输出电压的是负反馈放大器,能提高输入阻抗的是负反馈放大器。 5、我们通常所说的三态门为________、 ________和________ 三种状态。 6、贴片电阻上的表示103 表示电阻的阻值为而________。 7、 8051 系列单片机的字长是___位,其系列单片机的ALE 信号作用是。 8、电阻串联后阻值________,电容并联后容值________。 9、理想运算放大器的输入电阻为________,输入电流为值________。 10、三种常见的ESD 模型分别为 ______模型、机器模型和带电器件模型。

. 二、选择题(每题 4 分) 1 、电阻按照封装来分非为() A.贴片电阻,插件电阻 B.水泥电阻,功率电阻 C.色环电阻,标码电阻 D.插件电阻,功率电阻 2、贴片电阻的阻值为 5.1K ,那么上面的标号应该为() A.512 B.513 C.514 D.510 3、贴片电阻的封装是:() A. SOP8

华为校招硬件技术工程师机考试卷试题包括答案.docx

1.(判断题 )DRAM 上电时存储单元的内容是全 0,而 Flash 上电时存储单元的内容是全1。 (4分) A.正确 B.错误 FLASH可保存 2.(判断题 )眼图可以用来分析高速信号的码间 干扰、抖动、噪声和衰减。(4 分 ) A.正确 B.错误 3.(判断题 )以太网交换机将冲突域限制在每个 端口,提高了网络性能。 (4 分 ) A.正确 B.错误 4.(判断题 )放大电路的输出信号产生非线性失 真是由于电路中晶体管的非线性引起的。(4分) A.正确 B.错误 5.(判断题 )1 的 8 位二进制补码是0000_0001,-1的 8 位二进制补码是1111_1111 。 (4 分 ) A.正确 B.错误 6.(判断题 )洗衣机,电冰箱等家用电器都使用 三孔插座,是因为如果不接地,家用电器是不 能工作的。 (4 分 ) A.正确 B.错误 7.(判断题 )十进制数据 0x5a 与 0xa5 的同或运算 结果为: 0x00 。 (4 分 ) A.正确 B.错误 8.(判断题 )硅二极管的正向导通压降比锗二极 管的大 (4 分 ) A.正确 B.错误 9.(单选题 )一空气平行板电容器,两级间距为 d,充电后板间电压为u。然后将电源断开, 在平板间平行插入一厚度为d/3 的金属板。此时电容器原板间电压变为(4 分 ) A.U/3 B.2U/3 C.3U/4 D.不变 精品文档 但电容的大小不是由 Q(带电量)或U(电压)决定的,即: C=εS/4πkd。其中,ε是一个常数, S 为电容极板 的正对面积, d 为电容极板的距离, k 则是静 电力常量。而常见的平行板电容器 电容为 C=εS/d. (ε 为极板间介质的介电常数,S 为极板面积, d 为极板间的距离。) 3 电容器的电势能计算公式:E=CU^2/2=QU/2 10.(单选题 )8086CPU 内部包括哪些单元(4 分 ) A.ALU,EU B.ALU,BIU C.EU,BIU D.ALU,EU,BIU 80x86 从功能上分执行单元EU(Execution Unit),和总线接口单元BIU(Bus Interface Unit),执行单元由 8 个 16位通用寄存器, 1 个 16位标志寄存器, 1 个 16 位暂存寄存器,1个 16 位算术逻辑单元 ALU及 EU控制电路组 成。 总线接口单元由4个16位段寄存器(CS,DS,SS,ES),1 个 16 位的指令指针寄存器, 1个与 EU通信的内部暂存器, 1 个指令队列, 1 个计算20 位物理地址的加法器∑及总线控制电路构成。 11.(单选题 )为了避免 50Hz 的电网电压干扰放大器,应该用那种滤波器: (4 分 ) A.带阻滤波器 B.带通滤波器 C.低通滤波器 D.高通滤波器 12.(单选题 )关于 SRAM 和 DRAM,下面说话正 确的是: (4 分 ) A.SRAM 需要定时刷新,否则数据会丢失 B.DRAM 使用内部电容来保存信息 C.SRAM 的集成度高于DRAM D.只要不掉点,DRAM 内的数据不会丢失 【解析】 SRAM和 DRAM都是随机存储器,机器掉电后,两者的信息都将丢失。它们的最大区别就是:DRAM是用电容有无电荷来表示信息0 和 1,为防止电容漏电而导致读取信息出错,需要周期性地给电 容充电,即刷新;而SRAM是利用触发器的两个稳态来表示信息 0 和 1,所以不需要刷新。另外,SRAM的存取速度比 DRAM更高,常用作高速缓冲存储器Cache。

相关主题