搜档网
当前位置:搜档网 › EDA技术与电子类专业课程的融合教学

EDA技术与电子类专业课程的融合教学

EDA技术与电子类专业课程的融合教学
EDA技术与电子类专业课程的融合教学

EDA技术与电子类专业课程的融合教学

摘要:针对电子类课程体系中的不足,提出了eda技术与专业课程融合教学的思想。eda技术以multisim,maxplusⅱ,protus 和protel为核心,实现了与理论课、课程实训和综合实训的有机融合,既发挥了eda技术的新颖性、实用性和可操作性的优点,又弥补了专业课程中教师教和学生学都困难的不足。

关键词:eda技术;专业课程;multisim;maxplusⅱ;protus;protel

integration teaching of eda technology and electronic specialty curriculum

lu juanjuan

zhengde politechnic college, nanjing, 211106, china abstract: aiming at the electronics in the curriculum system, and puts forward the shortcomings of the technical and professional curriculum integration eda teaching thoughts. as the core of multisim, maxplus ⅱ, protus and protel. eda achieved with theory, curriculum practice and comprehensive training of organic integration. both played eda technology novelty, practicality and operationality advantages, and compensate for the professional course teacher teach and students learn all difficult inadequate. key words: eda technology; professional course; multisim;

maxplus ⅱ; protus; protel

eda(电子设计自动化)技术是现代电子工程领域的一门新技术,它是融合应用电子技术、计算机技术、信息处理及智能化技术的最新成果,为电子电路设计工作者提供了一种全新的设计方法。掌握eda技术有利于提高学生理论联系实际的能力和创新能力,是电子类高校毕业生应掌握的一项基本技能,也是学生就业的一个基本条件。

由于eda技术的新颖性和实用性,目前许多高职高专院校在专业课程的教学中都要求引入eda技术,这成为电子类专业课程教学改革的主要方向。一般采用两种方法:(1)单独开设eda技术课程和专业课程;(2)利用eda技术辅助专业课教学,通常是做一些验证性的仿真和测试。这两种课程体系和教学方法并没有充分发挥eda 技术的优点:第一,单独开设eda技术课程,虽然在教学方法上引入了“以项目为导向”的教学方法,但目的是以实例去驱动学习eda 软件,学生误认为实际电路为该eda软件服务,而不是eda软件为电子电路设计服务;第二,理论教学和设计实践严重脱节,难以激发学生的学习兴趣及对新技术学习的渴求和重视,也无法体现 eda 技术的特点和优点。因此,提高学生的学习兴趣,实现专业课程内容职业化和目标能力化,融合 eda技术与专业课程教学,优化专业课程体系,具有十分重要的意义。

1 eda技术与电子类专业课程的融合

目前eda应用技术中主要是eda软件的使用,主要包括multisim,maxplusⅱ,protus和protel。multisim,maxplusii和protus软件侧重于电路信号的仿真分析,而protel软件侧重于电路图及 pcb 图的设计。

电子类专业课程主要分3类:理论课、课程设计和综合实训,现采用的主要教学安排见表1。

理论课教学,都是一些枯燥的原理分析、公式推导,教学中学生难以理解。实验教学主要是依靠实验箱、搭电路图进行验证性实验,学生缺乏设计能力的锻炼。制作主要是依靠面包板或焊接板搭接电路,结果学生大多时间都花在电路布线的设计和排除故障的环节,不利于激发学生的学习兴趣,更阻碍了学生进一步探索。学完专业课程,学生并没有建立电子系统从设计、制作到调试和维护的理念。因此,在专业课程的教学过程中,从理论教学到实验实训课等课程,都要融合eda技术,具体安排见表2。通过理论课的学习,使学生具备电子系统设计的基础知识,掌握eda技术的基本操作;通过课程实训的学习,使学生掌握电子系统的基本方法,掌握eda技术的基本应用;通过综合实训的学习,使学生掌握电子系统设计的基本步骤和eda技术的综合应用,使学生具有电子系统设计、制作、安装、调试能力。

2 eda技术与电子类专业课程融合教学的实施方法

(1)课堂演示,实验仿真。在电子类专业课程中,如模拟电路、

数字电路,具有很强的理论性,学起来比较抽象;而单片机应用具有很强的操作性,即有硬件又有软件,学起来比较困难。将eda应用技术中的仿真软件应用到课堂教学中,为专业课程的项目化教学创造了条件。授课过程如图1所示,授新课之前,先仿真演示一下应用实例,激发学生的学习积极性和探究性;然后再带领学生引入理论剖析,在分析过程中进行演示,使学生有身临其境之感,从而降低了课程学习的难度;最后通过仿真验证和设计基本电路,让学生学有所用,增强实际动手能力。通过本阶段的学习,专业课程和eda软件相辅相成,为了学好专业课,主动学习辅助软件;学好了相应eda软件,既可以促进专业课程的学习,又可以弥补教材内容和实验室设备落后的不足。

(2)课程实训。课程实训往往是对该课程知识的综合应用,授课过程如图2所示。融合eda技术后,学生可先依据设计任务书,设计模块电路,并将所设计的电路利用 multisim软件或 maxplusli 软件进行仿真,如不满足设计要求,可以随时进行电路或元件参数的修改,直到成功为止。一个模块成功后,再进行下一个模块设计和仿真。仿真正确后,再利用protel绘制电路原理图、制作pcb 板。然后进行元件安装、焊接和调试。这样不仅提高了学生设计的成功率,而且提高了学生对学习的兴趣和积极性。同时,学生可以把大量的时间用在电路的设计上,以进行自主的创新拓展,便于实行阶梯化教学。

(3)综合实训。综合实训是对所学专业课程和eda技术的综合应

用,所设计的电子产品更具有实用性、趣味性和综合性,从而培养学生“分析问题—思考问题—解决问题—提升知识”的能力(如图3所示)。

eda技术的飞速发展,给电子专业课程的改革带来了难得的契机。把eda技术应用到专业课程的教学是目前高职教育的一个重要改革方向,不仅实现了“理论+实验+实训”“教—学—用”的一体化教学模式,而且大大提高了学生的电子线路设计水平,培养了学生的创新能力。

参考文献

[1] 王艳春.eda技术融入电子技术课程的探索[j].实验科学与

技术,2009,7(6):89~101

[2] 辛修芳,李媛.eda技术与数字电子技术教学的整合[j].重庆电子工程职业学院学报,2010,19(2):155~157

[3] 孔龙.eda技术与电子技术教学的整合[j].科技教育创新,2010,14:319~322

[4] 吴玮玮.eda技术在高职电子类专业教学中的应用[j].中国

现代教育装备,2008,67(9):126~127

[5] 张世德.eda与电子技术实践课程体系建设[j].电气电子教

学学报,2009,31(4):89~90

EDA技术实用教程-VHDL版课后答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

《EDA技术及应用》朱正伟-三,四,五章部分课后题答案

第三章 3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MAX4_1 IS PORT(A,B,C,D,S1,S2 : IN STD_LOGIC; Y : OUT STD_LOGIC); END ENTITY MAX4_1; ARCHITECTURE HF1 OF MAX4_1 IS SIGNAL SS : STD_LOGIC_VECTOR (0 TO 1); BEGIN SS<=S2&S1; PROCESS(SS) BEGIN CASE SS IS WHEN "00" => Y<=A; WHEN "01" => Y<=B; WHEN "10" => Y<=C; WHEN "11" => Y<=D; WHEN OTHERS => NULL; END CASE; END PROCESS; END HF1; 3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY VOTE7 IS PORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0); OUTPUT: OUT BIT); END VOTE7; ARCHITECTURE BEHA VE OF VOTE7 IS BEGIN PROCESS(MEN) V ARIABLE TEMP: INTEGER RANGE 0 TO 7; BEGIN TEMP:=0; FOR I IN 0 TO 6 LOOP IF(MEN(I)='1')THEN TEMP:=TEMP+1;

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

(整理)eda技术教案.

EDA技术教案 第一次课 内容: 1)介绍EDA技术的涵义、发展历程和应用领域; 2)介绍EDA技术的主要内容; 3)介绍EDA的工程设计流程; 4)说明本课程的特点与学习方法。 教学目的: 1)通过介绍EDA技术的涵义、发展历程和应用领域,使学生了解本课程的实 际应用很大,调动学生学习这门课程的积极性 2)通过介绍EDA技术的主要内容,使学生了解这门课程要学习什么。在此基 础上说明本课程的特点与学习方法。 3)说明各种通信系统的组成,了解它们的优缺点,出现背景。重点说明数字通 信系统的特定和优点。 4)介绍EDA的工程设计流程,说明当前EDA设计的特点,用软件方式设计 硬件,用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成 的,因此类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。 教学重点、难点: 1)EDA技术的三个发展阶段以及各阶段的特点; 2)EDA的定义和EDA技术的主要内容; 3)EDA的工程设计流程。 教学方法: 比较、举例、图解。 教学过程: (一)自我介绍,说明课时安排、成绩评定方法、课程定位、教学网站的进入。 (二)讲授新课 课堂教学实施过程共分六步。

1)介绍EDA技术的涵义。 2)说明EDA技术的发展背景,说明EDA技术的三个发展阶段,比较三个阶 段的各解决了什么问题,在此基础上理解各阶段的特点。 3)在第二步理解EDA技术进行电子系统设计的特点的基础上引出并详细说明 EDA的定义,加深对EDA技术的涵义的理解。 4)在第三步详细说明EDA的定义的基础上,引出EDA技术的4个主要内容: 硬件描述语言:设计的主要表达手段;大规模可编程逻辑器件:设计的载体; 软件开发工具:设计的工具;实验开发系统:下载工具及硬件验证工具。再分别介绍EDA技术的4个主要内容:了解常用的硬件描述语言VHDL和Verilog;了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们各自的特点;了解主流EDA工具软件;了解本课程使用的西安唐都公司的TD-EAD实验系统 5)说明课程要求:通过学习这门课程要掌握运用EDA开发工具设计开发电子 系统,引出这门课程的特点:实践性强,说明我们的学习方法:抓住一个重点:VHDL的编程;掌握两个工具:Quartus II 和TD-EAD实验系统;运用三种手段:通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学。 6)用设计一个简单电子系统为例,引出EDA的工程设计流程。说明当前EDA 技术发展的特点:用软件方式设计硬件;用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成的;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。现代EDA设计类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。同时这里又回顾复习了使用EDA技术进行电子系统设计的特点。最后图解说明EDA的工程设计流程。

eda技术的应用及发展

EDA技术的应用 摘要:随着科技的不断进步与发展,EDA (Electronic Design Automation)即电子设计自动化技术与我们的生活的交集越来越密切。随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。本文简单的介绍EDA技术的发展应用及在未来的发展。 关键字:EDA,技术,应用, Abstract:With the advancement and development of technology,( Electronic Design Automation ) electronic design automation technology and our life is more and more close intersection. With microelectronic technology and the continuous development of computer technology, communication, national defense, involved in aerospace, industrial automation, instrumentation and other areas of work, EDA technical content is increasing at an alarming speed, so as to make it become the forefront of the development of electronic technology. This paper briefly introduced the development of EDA technology, application, and the future. Key world: EDA ,development , 一、前言 1·随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。现代电子产品的性能提高、集成度和精密度不断的增加,电子产品更新换代的节奏越来越快。采用传统的电子设计方法设计电路越来越困难,EDA 技术提高了电路的设计效率和可靠性,减少了劳动强度,给电子系统设计带来了革命性的变化。 2·EDA:EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计

EDA课后答案(适用于《EDA技术及应用》)

1.1、设计集成计数器74161,设计要求如下: 4-BIT BINARY UP COUNTER WITH SYNCHRONOUS LOAD AND ASYNCHRONOUS CLEAR NOTE INPUTS: CLK LDN CLRN D C B A OUTPUTS:QD QC QB QA RCO *RCO = QD & QC & QB & QA LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT4 IS PORT( CLK,LDN,CLRN : IN STD_LOGIC; D,C,B,A : IN STD_LOGIC; CARRY : OUT STD_LOGIC; QD,QC,QB,QA : OUT STD_LOGIC ); END; ARCHITECTURE A OF CNT4 IS SIGNAL DATA_IN: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN DATA_IN<=D&C&B&A; PROCESS(DATA_IN,CLK,LDN,CLRN) VARIABLE CNT:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF CLRN='0' THEN CNT:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CNT:=DATA_IN; ELSE CNT:=CNT+1; END IF; END IF; CASE CNT IS WHEN "1111"=> CARRY<='1'; WHEN OTHERS=> CARRY<='0'; END CASE; QA<=CNT(0); QB<=CNT(1); QC<=CNT(2); QD<=CNT(3); END PROCESS; END A;

EDA技术实验教案

课程教案 课程名称:EDA技术实验 任课教师:王小虎 所属院部:电气与信息工程学院 教学班级:自本1201-02 教学时间:2014—2015学年第二学期

湖南工学院课程基本信息

P 1 实验一原理图的绘制 一、本次课主要内容 绘制一张完整的电源电路原理图. 1.绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 2.对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后, 存盘. 2.对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出 3.根据以上的实验内容写出实验报告,并将绘制好的完整电源电路图打印出来 贴在报告中,分析实验过程中遇到的问题,总结用到的知识点。 二、教学目的与要求 1.熟练掌握PROTEL99的基本操作。 2.学会绘制电路原理图。 3.掌握电路图的ERC校验、电路错误修改和网络表的生成 三、教学重点难点 重点:调用元件;创建原理图元件库;网络标号。 难点:原理图元件库的创建 四、教学方法和手段 采用课堂讲授大概20分钟时间,对原理图绘制的方法与技巧运用多媒体进行演 示、制作教学幻灯片。 五、作业与习题布置 写出完整的实验报告,并回答下面问题。 1、为什么要给元器件定义封装形式?是否所有原理图中的元器件都要定义封装 形式? 2、放置元器件时系统提示没有打开元器件库,应如何解决? 3、使用网络标号时应注意哪些问题? 4、总线和一般连线有何区别?使用中应注意哪些问题?

P 2 实验一原理图的绘制 一、实验目的 (1)熟练掌握PROTEL99的基本操作。 (2)学会绘制电路原理图。 (3)掌握电路图的ERC校验、电路错误修改和网络表的生成。 二、实验内容与步骤 (1)新建文档,设置参数的基本操作。进入ADV ANCED SCHEMATIC,新建一张原理图,并设置它的工作空间参数和文档参数。其中,电路图大小设置为A4, 横向放置,标题栏选择标准标题栏,栅格大小均选为20mil。 (2)装入元器件库。执行相关命令, (3)放置元器件。按照如图1-1所示,从元器件库中放置相应的元器件到电路图中,并对元器件做移动,旋转等操作,同时进行属性设置。各元器件的元器 件标号及标称值均采用小四号宋体,完成后将文件存盘。 (4)全局修改。利用SCH的全局修改功能,将图1-1中电阻的标号和标称值均由小四号宋体改为五号黑体,并将电阻的编号R*由大写改为小写r*,完成后将 文件改名存盘。 (5)绘制电源电路图。按照如1-1所示,绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 (6)对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,存盘 (7)对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出

EDA技术实用教程(第四版)习题答案

《EDA技术实用教程(第四版)》习题 3 习题 3-1 画出与以下实体描述对应的原理图符号元件: ENTITY buf3s IS --实体1:三态缓冲器 PORT(input:IN STD_LOGIC; --输入端 enable:IN STD_LOGIC; --使能端 output:OUT STD_LOGIC); --输出端 END buf3s ; ENTITY mux21 IS --实体2: 2选1多路选择器 PORT(in0, in1,sel: IN STD_LOGIC; output:OUT STD_LOGIC); 3-2 图3-16所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y<=a、y<=b、y<=c、y<=d。 图3-16 4选1多路选择器 --解1:用IF_THEN语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; mux21 in0 output in1 sel buf3s input output enable

ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1 = "00" THEN y <= a; ELSIF s0s1 = "01" THEN y <= b; ELSIF s0s1 = "10" THEN y <= c; ELSE y <= d; END IF; END PROCESS; END ARCHITECTURE if_mux41; --解2:用CASE语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE case_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据类型BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN CASE s0s1 IS --类似于真值表的case语句 WHEN "00" => y <= a; WHEN "01" => y <= b; WHEN "10" => y <= c; WHEN "11" => y <= d; WHEN OTHERS =>NULL ; END CASE; END PROCESS; END ARCHITECTURE case_mux41;

可编程逻辑器件与EDA技术实验教案

PLD实验教案电信学院通信系

序言 PLD实验是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。本实验课与理论课同时进行,与理论课有着较密切的联系。因此同学们在做本实验之前必须具备以下的基础知识: 1、数字电路的基础知识; 2、电子计算机常用操作系统的使用方法; 3、一定的英语基础; 4、必须有一定的理论知识做基础,与理论课同时进行。 PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。从70年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。所以同学们学习本课程有着非常重要的意义。 本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面: 首先:实验方法不同 本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。其流程图如下: 其次:实验手段不同 本实验是利用ISP技术、采用EDA工具、应用PLD器件,在PC平台上进行的。第三、本实验课的目的 学生学习完本实验课后,应达到如下的要求: 1、能熟练使用本实验的配套EDA软件Mux+plusⅡ; 2、掌握PLD芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字 系统设计; 3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑; 4、具备基本的开发能力,为后续学习打下坚实的基础。

EDA技术应用及发展前景介绍

EDA技术应用及发展前景介绍 EDA技术应用及发展前景介绍 电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。 一、EDA技术的基本特征 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。 设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合

EDA技术--教学大纲

《EDA技术与HDL语言》课程教学大纲 一、《EDA技术与HDL语言》课程说明 (一)课程代码:0711018 (二)课程英文名称:EDA technology and HDL language (三)开课对象:电子信息工程技术专业学生 (四)课程性质: 本课程是一门专业主干课程,是数字电子技术的一门后续课程;本课程的目的是让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力;本课程的先修课程为:模拟电子技术、数字电子技术、单片机原理及其接口技术。 (五)教学目的: 本课程让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力。 (六)教学内容: 本课程主要介绍PLD器件的基本结构、工作原理、PLD的种类;HDL语言相关语法、设计方法以及调试软件QUARTUSⅡ的使用方法。 (七)学时数、学分数及学时数具体分配 学时数:72学时 学分数:4学分 (八)教学方式 以多媒体技术为主要手段的理论教学及实践教学 (九)考核方式和成绩记载说明 考核方式为考试。严格考核学生出勤情况,达到学籍管理规定的旷课量取消考试资格。综合成绩根据平时成绩、实验成绩、期末成绩评定,平时成绩占20%,实验成绩10%,期末成绩占70% 。

二、讲授大纲与各章的基本要求 第1章概述 教学要点: 通过本章的学习,使学生初步了解EDA的基本知识、常用的EDA基本工具使用方法和目标器件的结构原理。 教学时数:4学时 教学内容: 第一节 EDA技术 第二节 EDA技术应用对象 第三节 VHDL 第四节 EDA的优势 第五节面向FPGA的EDA开发流程 一设计输入 二综合 三适配(布线布局) 四仿真 第六节 PLD 一 PLD的分类 二 PROM可编程原理 三 GAL 第七节 CPLD的结构与可编程原理 第八节 FPGA的结构与工作原理 一查找表逻辑结构 二 FPGA的结构与原理 第九节硬件测试技术 一内部逻辑测试 二 JTAG边界扫描测试 第十节 FPGA/CPLD产品概述 一 Altera公司FPGA和CPLD器件系列 二 Lattice公司CPLD器件系列 三 Xilinx公司FPGA和CPID器件系列 第十一节编程与配置 第十二节 FPGA配置器件 第十三节 Quartus II

EDA技术教学大纲

《EDA技术》课程教学大纲 学分:4 学时:64(讲课:32学时;实验:32学时) 适用专业:电子设备与运行管理、电子信息工程、通信工程。 教材:《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 开课院系:电子与信息技术系 第一章绪论 内容:EDA技术简介 重点:EDA的含义、范畴及发展现状 教学要求:对EDA具有初步了解 第二章EWB 内容:EWB软件的使用 重点:EWB的基本操作,EWB仪器、仪表的使用与电路设计,电路仿真常用分析方法 难点:电路仿真常用基本方法 实验:EWB的基本操作 教学要求:学会使用EWB电路仿真软件,初步能利用仿真软件对电路进行分析 第三章Protel 内容:原理图的绘制,PCB的设计 重点:SCH原理图和PCB电路板图的绘制 难点:PCB的设计 实验:原理图的绘制及PCB的设计 教学要求:掌握电路原理图的设计方法,学会使用Protel来设计PCB电路板,主要是掌握PCB的设计规则 第四章VHDL 内容:学会使用MAXPLUS II软件,了解VHDL编程语言,能进行至少一个电子技术综合问题的设计 重点:MAXPLUS II的使用,VHDL编程语言 难点:VHDL编程语言 实验:VHDL语言,电子技术综合实验 三、建议教材与参考书 1、《EDA技术基础》郭勇、许戈、刘豫东编,机械工业出版社,2001年7月 2、《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 四、说明 1、本课程的教学需由熟悉电子技术、EDA技术的教师担任,以满足理论和实践教学的

需要 2、本课程开设之前应开设《电子技术》课程。 3、本课程的教学理论教学和实践教学并重。 4、本课程的重点是使学员掌握常用的EDA软件及简单的应用系统开发 5、本教学大纲也适用于机电工程及自动化、机电一体化、工业自动化等专业。

EDA技术及应用【朱正伟】第五章课后习题部分答案

1.试说明实体端口模式BUFFER和INOUT的不同之处? 答:BUFFER端口:缓冲模式,具有读功能的输出模式,即信号输出到实体外部,但同时也在内部反馈使用,不允许作为双向端口使用。而INOUT端口:双向模式,即信号的流通是双向的,既可以对此端口赋值,也可以通过此端口读入数据。 2.VHDL的数据对象有哪几种?它们之间有什么不同? 答:VHDL的数据对象有三种:信号、变量、常量。它们之间的的区别如下: 信号赋值至少有δ延时,而变量和常量没有;信号除当前值外,有许多相关信息,变量只有当前值,常量的值在设计实体中始终不变;进程对信号敏感而对变量及常量不敏感;信号可以是多个进程的全局信号,变量只在定义它们的顺序域可见,而常量的使用范围取决于它被定义的位置;信号是硬件连线的抽象描述信号赋值,赋值符号 <= 而变量和常量的赋值符号 :=。 3.说明下列各定义的意义: SIGNAL a , b , c : BIT : =’0’; CONSTANT TIME1 , TIME2 : TIME : 20ns ; VARIABLE x , y , z : STD_LOGIC :=’x’; 答:○1定义3个位数据类型的信号a、b、c,它们取值为0; ○2定义2个时间数据类型的常量TIME1、TIME2,它们值为20ns; ○3定义3个标准逻辑位 STD_LOGIC数据类型的变量x、y、z,它们的值是强未知的。 4.什么是重载函数?重载运算符有何用处?如何调用重载运算符函数? 答:为了方便各种不同数据类型间的运算,VHDL允许用户对原有的基本操作符重新定义,赋予新的含义和功能,从而建立一种新的操作符,这就是重载操作符,定义这种操作符的函数成为重载函数。重载运算符的作用是为了方便各种不同的数据类型间的运算。要调用重载运算符函数,先要在程序包中进行函数体的定义,调用的格式如下:

EDA技术及应用实验报告(完整版纯手打)

聲附MU塞农程舉龜Zhengzhou In^lituleof Aeronautical Industry Management

《EDA技术及应用》 实验报告 系部: _________________________ 指导教师:________________________ 学号:___________________________ 姓名:___________________________ 实验一点亮LED设计 一、实验目的 通过此实验让用户逐步了解、熟悉和掌握FPGA开发软件Quartusll的使用方法及Verilog HDL的编程方法。 本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA技术开发以及软件的使用,从而快速入门并激起读者对EDA技术的兴趣。

二、实验内容 SmartSOPC实验箱上有8个发光二极管LED1~8,并分别与FPGA的50、 53~55、176和47~49引脚相连。本实验的内容是建立可用于控制LED亮/灭的简单硬件电路,要求点亮SmartSOPC实验箱上的4个发光二极管(LED1、LED3、LED5 和LED7 )。 三、实验原理 FPGA器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O 口(单元)。FPGA每个I/O 口可以配置为输入、输出、双向I/O、集电极开路和三态门等各种组态。作为输出口时,FPGA的I/O 口可以吸收最大为24mA的电流,可以直接驱动发光二极管LED等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”就可以实现点亮该发光二级管的功能。 四、实验步骤 1、启动Quarters II建立一个空白工程,命名为led_test.qpf。然后分别建立图形设计文件,命名为led_test.bdf,以及文本编辑文件led1.v,将他们都添加进工程中。 2、对工程进行设计。在led1.v中输入程序代码,并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。从设计文件中创建模块, 由led1.v生成名为led1.bsf的模块符号文件。在led_test.bdf中任意空白处双击鼠标左键,将symbol对话框中libraries : project下的led1模块添加到图形文件led_test.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。完整的顶层模块原理图如下图所示。选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。 3、设置编译选项并编译硬件系统。将led_test.bdf设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

EDA技术及应用实验报告(完整版纯手打)

《EDA技术及应用》 实验报告 系部: 指导教师: 学号: 姓名:

实验一 点亮LED 设计 一、实验目的 通过此实验让用户逐步了解、熟悉和掌握FPGA 开发软件QuartusII 的使用方法及Verilog HDL 的编程方法。 本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA 技术开发以及软件的使用,从而快速入门并激起读者对EDA 技术的兴趣。 二、实验内容 SmartSOPC 实验箱上有8个发光二极管LED1~8,并分别与FPGA 的50、53~55、176和47~49引脚相连。本实验的内容是建立可用于控制LED 亮/灭的简单硬件电路,要求点亮SmartSOPC 实验箱上的4个发光二极管(LED1、LED3、LED5和LED7)。 三、实验原理 FPGA 器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O 口(单元)。FPGA 每个I/O 口可以配置为输入、输出、双向I/O 、集电极开路和三态门等各种组态。作为输出口时,FPGA 的I/O 口可以吸收最大为24mA 的电流,可以直接驱动发光二极管LED 等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可以实现点亮该发光二级管的功能。 四、实验步骤 1、启动Quarters II 建立一个空白工程,命名为led_test.qpf 。然后分别建立图形设计文件,命名为led_test.bdf ,以及文本编辑文件led1.v ,将他们都添加进工程中。 2、对工程进行设计。在led1.v 中输入程序代码,并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。从设计文件中创建模块,由led1.v 生成名为led1.bsf 的模块符号文件。在led_test.bdf 中任意空白处双击鼠标左键,将symbol 对话框中libraries :project 下的led1模块添加到图形文件led_test.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。完整的顶层模块原理图如下图所示。选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。 led[7..0] OUTPUT led[7..0] led1 inst 3、设置编译选项并编译硬件系统。将led_test.bdf 设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。 4、下载硬件设计到目标FPGA 。将产生的led_test.sof 输出对FPGA 进行配置。 5、观察LED 的状态。 五、实验程序 //利用连续赋值assign 语句实现 module led1(led); //模块名 led1 output[7:0] led; //定义输出端口、 assign led =8'b10101010; //输出0xAA endmodule //利用过程赋值语句实现(暂时已屏蔽)

EDA技术及应用实训报告

桂林电子科技大学信息科技学院《EDA技术及应用》实训报告 学号1252100301 姓名 指导教师:覃琴 2014年4 月29 日

实训题目:数字日历电路 1 系统设计 1.1 设计要求 1.1.1 设计任务 (1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。 (2)数字日历能够显示年、月、日、时、分和秒。 (3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20140101),然后在另一时间段内显示时、分、秒(如010101099),两个时间段能自动倒换。 (4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。 (5)体现创新部分 1.1.2 性能指标要求 1)数字电路能够在一定的时间内显示切换的功能,并且能手动校准年月日和时分秒 2)具有复位和进位的功能 3)能起到提示的作用,如闹钟或亮彩灯等。 1.2 设计思路及设计框图 1.2.1设计思路 如图1.2.2所示 1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。 2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

《EDA技术与应用》A卷及答案

汕头大学成人教育学院二0一0年春季学期期末考试试卷 试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业: 姓名:学号: 一、填空题(20分,每小题1分) 1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。 2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。 3.可编程器件分为 fpga__ 和 _cpld______ 。 4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。 5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、 ___布尔_____。 6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______ 电路。 7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。 8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL 设计当中。 9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __ 时序____ 仿真。 10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公 司。 二、简答题(20分,每小题4分) 1、与HDL文本输入法相比较,原理图输入法有何优点?

2、写出结构体的一般语言格式并说明其作用 3、信号和变量的区别? 4、写出PROCESS语句结构的一般表达格式。 5、写出五种以上的VHDL的预定义数据类型。 三、程序注解(20分,每空1分) library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________

相关主题