搜档网
当前位置:搜档网 › 复旦大学-数字集成电路设计时序分析和验证教程

复旦大学-数字集成电路设计时序分析和验证教程

复旦大学-数字集成电路设计时序分析和验证教程
复旦大学-数字集成电路设计时序分析和验证教程

摘要:

本文介绍了数字集成电路设计中静态时序分析(Static Timing Analysis)和

形式验证(Formal Verification)的一般方法和流程.这两项技术提高了时序分

析和验证的速度,在一定程度上缩短了数字电路设计的周期.本文使用Synopsys 公司的PrimeTime进行静态时序分析,用Formality进行形式验证.由于它们都是基于Tcl(Tool Command Language)的工具,本文对Tcl也作了简单的介绍.

关键词:

静态时序分析形式验证PrimeTime Formality Tcl

目录

第一章绪论 (1)

1.1 静态时序分析

1.2 时序验证技术

第二章PrimeTime简介 (3)

2.1 PrimeTime的特点和功能

2.2 PrimeTime进行时序分析的流程

2.3 静态时序分析中所使用的例子

2.4 PrimeTime的用户界面

第三章Tcl与pt_shell的使用 (6)

3.1 Tcl中的变量

3.2 命令的嵌套

3.3 文本的引用

3.4 PrimeTime中的对象

3.4.1 对象的概念

3.4.2 在PrimeTime中使用对象

3.4.3 针对collection的操作

3.5 属性

3.6 查看命令

第四章静态时序分析前的准备工作 (12)

4.1 编译时序模型

4.1.1 编译Stamp Model

4.1.2 编译快速时序模型

4.2 设置查找路径和链接路径

4.3 读入设计文件

4.4 链接

4.5 设置操作条件和线上负载

4.6 设置基本的时序约束

4.6.1 对有关时钟的参数进行设置

4.6.2 设置时钟-门校验

4.6.3 查看对该设计所作的设置

4.7 检查所设置的约束以及该设计的结构

第五章静态时序分析 (18)

5.1 设置端口延迟并检验时序

5.2 保存以上的设置

5.3 基本分析

5.4 生成path timing report

5.5 设置时序中的例外

5.6 再次进行分析

第六章Formality简介 (22)

6.1 Formality的基本特点

6.2 Formality在数字设计过程中的应用

6.3 Formality的功能

6.4 验证流程

第七章形式验证 (27)

7.1 fm_shell命令

7.2 一些基本概念

7.2.1 Reference Design和Implementation Design

7.2.2 container

7.3 读入共享技术库

7.4 设置Reference Design

7.5 设置Implementation Design

7.6 保存及恢复所作的设置

7.7 验证

第八章对验证失败的设计进行Debug (32)

8.1 查看不匹配点的详细信息

8.2 诊断程序

8.3 逻辑锥

8.3.1 逻辑锥的概念

8.3.2 查看不匹配点的逻辑锥

8.3.3 使用逻辑锥来Debug

8.3.4 通过逻辑值来分析

诸论1

第一章绪论

我们知道,集成电路已经进入到了VLSI和ULSI的时代,电路的规模迅速上升

到了几十万门以至几百万门.而IC设计人员的设计能力则只是一个线性增长的曲线,远远跟不上按照摩尔定律上升的电路规模和复杂度的要求.这促使了新的设计方法和高性能的EDA软件的不断发展.

Synopsys公司的董事长兼首席执行官Aart de Geus曾经提到,对于现在的IC

设计公司来说,面临着三个最大的问题:一是设计中的时序问题;二是验证时间太长;三是如何吸引并留住出色的设计工程师.他的话从一个侧面表明了,随着IC

设计的规模和复杂度的不断增加,随着数百万系统门的设计变得越来越普遍,时序分析和设计验证方面的问题正日益成为限制IC设计人员的瓶颈.

对于这些问题,设计者们提出的策略有:创建物理综合技术,开发更快更方便

的仿真器,使用静态时序分析和形式验证技术,推动IP的设计和应用等等.本文

将着重于探讨其中的静态时序分析和形式验证两项技术,在集成电路设计日益繁复的背景下,它们为IC产品更快更成功地面对市场提供了可能.

§1.1 静态时序分析

一般来说,要分析或检验一个电路设计的时序方面的特征有两种主要手段:动

态时序仿真(Dynamic Timing Simulation)和静态时序分析(Static Timing Ana

-lysis).

动态时序仿真的优点是比较精确,而且同后者相比较,它适用于更多的设计类

型.但是它也存在着比较明显的缺点:首先是分析的速度比较慢;其次是它需要使

用输入矢量,这使得它在分析的过程中有可能会遗漏一些关键路径(critical pat

-hs),因为输入矢量未必是对所有相关的路径都敏感的.

静态时序分析的分析速度比较快,而且它会对所有可能的路径都进行检查,不

存在遗漏关键路径的问题.我们知道,IC设计的最终目的是为了面对竞争日益激

诸论2

烈的市场,Time-to-market是设计者们不得不考虑的问题,因此对他们来说,分

析速度的提高,或者说分析时间的缩短,是一个非常重要的优点.

§1.2 形式验证技术

我们知道,验证问题往往是IC产品开发中最耗费时间的过程之一,而且它需

要相当多的计算资源.开发一个带有相应的测试向量的测试平台是很费时的工作, 而且它要求开发者必须对设计行为有很好的很深入的理解.而形式验证技术,简单地说就是将两个设计--或者说一个设计的两个不同阶段的版本--进行等效性比

较的技术,由于能够很有效地缩短为了解决关键的验证问题所花费的时间,正在逐渐地被更多的人接受和使用.这方面的工具有Synopsys公司的Formality和Verp -lex公司的Conformal LEC等.

本文将讨论使用Synopsys的工具PrimeTime和Formality进行静态时序分析

和形式验证的一般方法和流程.本文的第二章简要介绍了PrimeTime的基本功能和特点.第三章介绍了Tcl在PrimeTime中的基本使用,重点是关于对象和属性的操作.第四章介绍了在进行静态时序分析之前要作的准备工作.第五章介绍了对一个具体例子进行静态时序分析的过程.第六章介绍了Formality的基本特点和验证流程.第七章介绍了对一个具体例子进行形式验证的过程.第八章介绍了对验证失败的设计进行Debug的各种技巧.

PrimeTime简介3

第二章PrimeTime简介

正如本文前面所提到的,静态时序分析方法由于有着更快的分析速度等优点,

正在被更多的设计者们所重视.PrimeTime是Synopsys的静态时序分析软件,常

被用来分析大规模,同步,数字ASIC.PrimeTime适用于门级的电路设计,可以和Synopsys公司的其它EDA软件非常好的结合在一起使用.

这一章将简要介绍PrimeTime的基本功能和特点,以及使用PrimeTime进行静

态时序分析的一般过程.

§2.1 PrimeTime的特点和功能

作为专门的静态时序分析工具,PrimeTime可以为一个设计提供以下的时序分

析和设计检查:

建立和保持时间的检查(setup and hold checks)

时钟脉冲宽度的检查

时钟门的检查(clock-gating checks)

recovery and removal checks

unclocked registers

未约束的时序端点(unconstrained timing endpoints)

master-slave clock separation

multiple clocked registers

组合反馈回路(combinational feedback loops)

基于设计规则的检查,包括对最大电容,最大传输时间,最大扇出的检查

等.

PrimeTime具有下面的特点:

1)PrimeTime是可以独立运行的软件,它不需要逻辑综合过程中所必需的各

种数据结构,而且它对内存的要求相对比较低.

2)PrimeTime特别适用于规模较大的,SOC(system-on-chip)的设计. PrimeTime简介4

在数字集成电路设计的流程中,版图前,全局布线之后已经版图后,都可以使

用PrimeTime进行静态时序分析.

§2.2 PrimeTime进行时序分析的流程

使用PrimeTime对一个电路设计进行静态时序分析,一般要经过下面的步骤: 1)设置设计环境

在可以进行时序分析之前,首先要进行一些必要的设置和准备工作.具体来说包括了:

设置查找路径和链接路径

读入设计和库文件

链接顶层设计

对必要的操作条件进行设置,这里包括了线上负载的模型,端口负载,驱

动,以及转换时间等

设置基本的时序约束并进行检查

2)指定时序约束( timing assertions/constraints)

包括定义时钟周期,波形,不确定度(uncertainty),潜伏性(latency),以及

指明输入输出端口的延时等.

3)设置时序例外( timing exceptions):

这里包括了:

设置多循环路径(multicycle paths)

设置虚假路径(false paths)

定义最大最小延时,路径的分段(path segmentation)以及无效的arcs

4)进行时序分析:

在作好以上准备工作的基础上,可以对电路进行静态时序分析,生成constra

-int reports和path timing reports.

以上仅仅是PrimeTime进行静态时序分析的简单流程,在本文以下的部份中将会有更详细的叙述.

PrimeTime简介5

§2.3 静态时序分析中所使用的例子

在本文中,进行静态时序分析时所用的例子是微处理器AMD 2910,图2-2给出了它的顶层的电路图.

Figure2-2 AMD 2910 微处理器

§2.4 PrimeTime的用户界面

PrimeTime提供两种用户界面,图形用户界面GUI(Graphical User Interf

-ace)和基于Tcl的命令行界面pt_shell,其运行方式分别是:

% PrimeTime

% pt_shell

退出的命令是quit,exit或者^d.事实上,在GUI界面中通过菜单进行的每一个

操作,都对应着相应的pt_shell的命令.因此,本文以下的章节都只针对于pt_ shell来完成.

Tcl与pt_shell的使用6

第三章Tcl与pt_shell的使用

Tcl是Tool Command Language的缩写,由于PrimeTime的命令语言是基于

Tcl标准的,所以在这一章里我想大致介绍一下Tcl在PrimeTime中的基本使用. 除了一些最常用的Tcl命令之外,主要介绍了pt_shell中有关对象和属性的操作.

事实上,大多数synopsys公司的EDA工具都是基于Tcl标准的.例如在第二

章的图2-1中可以看到的综合软件Design Compiler也是.由于都基于Tcl标准, PrimeTime中的大多数命令以及命令参数都和Design Compiler中是相同的.

§3.1 Tcl中的变量

我们可以把Tcl看作是一种比较高级的语言,它很容易理解和使用,所以这里

对它的介绍也是很简单的.

与变量有关的有下列操作:

1)定义变量:set 变量名变量值

例如: set clock_period 10

2)引用变量:$变量名

例如: echo $clock_period

3)删除变量:unset 变量名

4)打印变量:printvar 变量名(无变量名时打印所有变量)

或者: echo $变量名

举个例子,在使用PrimeTime之前,我们可以把它设置成分页显示,以便于浏

览在运行时生成的信息,此时可以使用如下的命令:

set sh_enable_page_mode true

如果希望每次运行时PrimeTime总是分页显示,可以到.synopsys_pt.setup文件中去更改sh_enable_page_mode变量的设置.

Tcl与pt_shell的使用7

§3.2 命令的嵌套

在使用PrimtTime的过程中,命令的嵌套经常会被用到.嵌套命令时,用方括

号([])分隔开每一层的命令,例如:

命令1 [命令2 [命令3] ]

在这样一个例子中,命令3首先被执行,它的结果将被作为命令2的一个参数,然后依次执行下去.

§3.3 文本的引用

在Tcl中,可以使用两种方法来引用文本或者说字符串:

1)弱引用:使用双引号来引用文本.在双引号里出现的变量,命令和反斜杠

不会被转义,仍然保持特殊意义.

2)强引用:使用大括号来引用文本.大括号中的字符串将按照字面上被引

用.

例如:set mydelay 10

echo "The value of mydelay is $mydelay"

得到的结果将是:The value of mydelay is 10,而

echo {The value of mydelay is $mydelay}

得到的结果将是:The value of mydelay is $mydelay.

除此之外,可以使用反斜杠来转义一个单一的特殊字符,以及使用expr命令

来得到算术表达式的值.

§3.4 PrimeTime中的对象

§3.4.1 对象的概念

在IC设计中,"对象(object)"是一个常用的概念.一般来说,一个设计会

包含以下的对象:Design,Cell,Port,Pin,Net,Clock等.在分析和验证的过

程中,也经常要跟这些对象打交道.因此搞清楚这些概念,才不会在使用软件的过程中遇到不必要的障碍.

Tcl与pt_shell的使用8

Design:有一定逻辑功能的电路描述,它可以是独立的,也可以包含有其他

的子设计.虽然严格地来说子设计只是设计的一部份,但是Synopsys也把它看作是一个design.

Cell:在Synopsys的术语中,cell和instance被认为是同样的概念,都是

design中例化的一个具体元件.

Port:指主要的input,output或者design的I/O管脚.

Pin:对应于设计中的cell的input,output或者I/O管脚.

Reference:cell或者instance参考的源设计的定义.

Net:是指信号的名字,即通过连接ports与pins或者pins与pins而把一

个设计连接在一起的金属线的名字.

Clock:作为时钟源的port或者pin.

下面的例子是用VHDL语言描述的一个电路,包含了上面所说的各种对象: Figure3-1

§3.4.2 在PrimeTime中使用对象

PrimeTime提供了一个命令来选中这些对象,或者更准确地说是建立一个这些

对象的collection,命令的形式为:

get_objtype

其中objtype是这种对象的类型,可以使用"help get_*"来查看.这个命令与Design Compiler中的find命令有点类似.

值得注意的是,这个命令并不是返回被选中对象的列表,而是建立一个指向被

选中对象的collection.这种方法比在memory中保存一个庞大的列表要节省时间和资源.要列举所选中的对象,可以用query_objects命令.通常可以把建立的collection设置为变量,以方便使用,例如:

set data_ports [get_ports D

]

query_objects $data_ports

另外,也可以用all_objtype命令来建立某种对象的collection,例如:

all_clocks,all_inputs,all_outputs,all_instances,all_registers,

Tcl与pt_shell的使用9

all_connected等.其中all_connected的作用是列出与某对象连接的所有pin, port或者net,例如要得到所有连接到CLOCK的对象,可以:

query_objects [all_connected [get_nets CLOCK]]

§3.4.3 针对collection的操作

1)foreach_in_collection

顾名思义,这个命令的作用是对一个collection中的所有的对象进行某种操作,其形式为

foreach_in_collection variable collection { body }

例如,要打印出端口总线Y_OUTPUT的电容:

foreach_in_collection outpin [get_ports Y_OUTPUT

] {

set maxcap [get_attribute $outpin wire_capacitance_max]

set pinnname [get_attribute $outpin full_name]

echo "Max capacitance of port $pinname is $maxcap"

}

说明:①问号表示命令尚未结束,出现在引号,大括号和中括号里.

②这个命令比较复杂,它的执行过程是这样的:执行get_ports命令得到collection;依次定义三个新的变量:outpin,maxcap以及pinname;然后对于collection中的每一项,依次执行echo命令.

foreach_in_collection命令是针对于collection的,对于一般的列表可以

使用Tcl中的标准命令foreach.

2)从collection中增加或删除对象

add_to_collection collection object

remove_from_collection collection object

其中的object表示要增加或者删除的对象,这两个命令将返回一个新的collection.

3)collection的过滤

Tcl与pt_shell的使用10

根据一定的条件对collection进行过滤,可以使用filter_collection命

令,它将在collection中寻找符合条件的对象,并返回一个新的collection,如

果没有匹配的对象的话将返回空的字符串.也可以collection命令中使用-filter 参数.

filter命令中的条件表达式可以使用以下的运算符:==,!=,>,=,<=,=~

例如,要列出名字是ND2,ND21,ND3,ND4p诸如此类的cells:

query [get_cells * -hier -filter "ref_name =~ ND*"]

说明:在使用PrimeTime时可以使用缩略的命令,这里就使用了query来代替query_objects.

§3.5 属性

属性(arritubes)可以是PrimeTime预定义的,也可以是从综合软件如Design Compiler继承下来的(例如时钟周期,输入延迟,net的电容等),也可以是由用户定义的.

与属性相关的命令有:

list_arritubes

get_arritube

report_arritube

define_user_arritube

set_user_arritube

remove_user_arritube

利用这些命令可以很方便地了解设计,例如想知道AM2910设计中的最大电压,可以:

get_attribute [get_designs AM2910] voltage_max

§3.6 查看命令

与Unix相似,可以使用help或者man来查看命令的用法.例如查看与clock

相关的命令可以:

Tcl与pt_shell的使用11

help *clock

查看命令的参数可以用如下的命令:

help -verbose

其他的命令这里就不再赘述了,在后面的章节中使用到时再一一作介绍.

静态时序分析前的准备工作12

第四章静态时序分析前的准备工作

从第二章里的时序分析流程可以看到,在对一个设计进行静态时序分析之前,

首先要作一些基本的环境设置和准备工作,包括:

设置查找和链接路径;

读入并链接所要分析的设计;

设置操作条件和线上负载模型(wire load model );

设置基本的时序约束( timing assertions);

检查所设置的约束以及该设计的结构.

这一章将依次介绍这些内容.

§4.1 编译时序模型

§4.1.1 编译Stamp Model

Stamp model是针对于复杂模块--例如DSP(digital signal processing)的

核心或者RAM--而建立的静态时序模型.它一般是为晶体管级的设计而创建的, 在设计中没有门级的网表.Stamp model中可以包含的时序信息有:pin-to-pin的arcs( ),建立和保持时间,pin的电容和负载,以及三态输出,锁存器,内建时

钟等.

一个Stamp model包括两个源文件:

1).mod文件,包含对pin-to-pin的arc的描述(不包括延时).

2).data文件,包括.mod文件中描述的每一个arc的延迟数据.

例如,对于AM2910设计中的Y模块(见图2-2),编译其Stamp model:

compile_stamp_model -model_file Y.mod -data_file Y.data -output Y

其中Y.mod和Y.data是源文件,编译后生成了两个.db文件:Y_lib.db和Y.db.

其中Y_lib.db是一个库文件,其中包括一个被称为core的单元;而Y.db是一个设计文件,是Y_lib.db中的单元core的例化.正是由于它们之间的这种关系,为了在链接时能够正确地例化Y.db,库Y_lib.db必须要加入到链接路径(link

静态时序分析前的准备工作13

_path)中去.

§4.1.2 编译快速时序模型

对于设计中的某些未完工的模块-- 比如说,该模块的HDL,或者完整的

stamp model没有完成--你可以创建一个快速时序模型来进行分析.快速时序模

型是一个临时性的模型,可以提供进行时序分析而需要的时序信息.实际上,快速时序模型是包含一系列pt_shell命令的文件,而不是语言.这样比使用Stamp mo -del的语言去写一个模型花费的时间更少.

例如为AM2910中的STACK模块创建一个快速时序模型:

source -echo stack.qtm.pt

report_qtm_model;

save_qtm_model -output STACK -format db

其中stack.qtm.pt是一个脚本文件,描述了建立快速时序模型所需要的所有

pt_shell命令,所以在这里直接source就可以了.它的具体内容参见附录[1].

创建好之后,用save_qtm_model命令把该模型保存为.db格式的文件.同其

他类型的模型一样,PrimeTime也创建了两个.db文件,STACK_lib.db和STACK .db.

最后需要说明的是,§4.1节中的操作并非对所有的设计都是必需的,所有我

没有把它加入到本章开头的流程中去.

§4.2 设置查找路径和链接路径

查找路径和链接路径在PrimeTime中对应着两个变量:search_path和

link_path,用set命令对它们进行设置.

设置查找路径:set search_path "."

设置链接路径:set link_path "* pt_lib.db STACK_lib.db Y_lib.db"

我是在存放AMD 2910的设计文件的目录下运行PrimeTime的,所以把search _path设置成当前目录".".

静态时序分析前的准备工作14

link_path中的符号"*"的意思是,当PrimeTime在链接时,它会使用内存中的

设计文件和库文件.pt_lib.db,STACK_lib.db,Y_lib.db是该设计中用到的库文

件.

§4.3 读入设计文件

下面的表格给出了PrimeTime可以接受的文件类型,以及读入每一种类型的设

计时,所使用的不同命令.

PrimeTime可以接受的文件类型命令

Synopsys数据库文件(.db) read_db

Verilog网表文件read_verilog

EDIF网表文件

(Electronic Design Interchange Format) read_edif

VHDL网表文件read_vhdl

本文中分析的例子使用的是第一种格式,这是大部份Synopsys工具都支持和

共享的一种公用的中间结构,它是描述文本数据的二进制已编译表格式.例子中顶层的设计文件是AM2910.db,所以这样读入该设计:

read_db AM2910.db

§4.4 链接

链接过程就在库文件中寻找到设计中所需要的元件,并将该设计例化的过程.

PrimeTime首先调用link_path中指定的所有的库文件,然后进行链接,该设计中

的五个子模块CONTROL,REGCNT,STACK,UPC,Y会依次被读入进来.可以看到, 我们在定义link_path的时候,一定要把所有的技术库(pt_lib.db)和模型库文

件(STACK_lib.db,Y_lib.db)都写在里面,否则会导致链接的失败.

链接使用如下的命令:

静态时序分析前的准备工作15

link_design AM2910

说明:

1)在上面的例子中,我没有把子模块的设计文件读入到Memory,因为在链接

的时候PrimeTime可以自动读入它们.但是注意这样做的前提是PrimeTime能够在search_path中找到所有的子设计文件.

2)如果在link_design命令中,没有指定需要链接的设计名,PrimeTime会

链接当前设计.如果没有当前设计,PrimeTime会读入并链接最近的设计.

3)在默认的情况下,变量link_create_black_boxes的值是true.如果链接

过程中PrimeTime无法找到某个元件的reference,即无法将其例化,它将为该元

件建立一个black box.假如变量link_create_black_boxes被设置为false,链

接将会失败.

链接完成之后我们可以用下面的命令来查看当前读入的设计:

list_design

还可以查看当前已经读入的单元的信息:

report_cell

需要注意的是,memory中只能存在一个已链接的设计,当你链接一个新的设

计后,以前的设计将变成未链接的,此时所有的时序信息都将丢失,系统会给一个warning.你可以在链接新的设计之前使用命令

write_script

生成一个脚本(.pt文件),以后可以通过运行这个脚本来重新链接.

§4.5 设置操作条件和线上负载

set_operating_conditions -library pt_lib -min BCCOM -max WCCOM

set_wire_load_mode top

set_wire_load_model -library pt_lib -name 05x05 -min

set_wire_load_model -library pt_lib -name 20x20 -max

PrimeTime在产生setup timing report的时候使用最大的操作条件和线上负

载,在产生hold timing reports的时候则使用最小的操作条件和线上负载.

静态时序分析前的准备工作16

如果最大和最小的操作条件在两个不同的库中,可以使用命令

set_min_library

来建立两个库之间的联系.可以用list_libraries命令来查看所有的库,然后对

需要详细了解的库,用"report_lib 库名"来查看.

§4.6 设置基本的时序约束

§4.6.1 对有关时钟的参数进行设置

create_clock -period 30 [get_ports CLOCK]

set clock [get_clock CLOCK]

set_clock_uncertainty 0.5 $clock

set_clock_latency -min 3.5 $clock

set_clock_latency -max 5.5 $clock

set_clock_transition -min 0.25 $clock

set_clock_transition -max 0.3 $clock

如果设计中具有完全back-annotated (注释)的时钟网络的话,上面的参数

如uncertainty,transition等都可以使用下面的命令自动得到:

set_propagated_clock clock_object_list

§4.6.2 设置时钟-门校验(clock-gating checks)

设定时钟-门的建立和保持时间的数值,以及最小的脉冲宽度.

set_clock_gating_check -setup 0.5 -hold 0.1 $clock

set_min_pulse_width 2.0 $clock

如果该设计是back-annotated的,PrimeTime会从SDF(standard delay

format,标准延迟格式)中取得以上参数.

§4.6.3 查看对该设计所作的设置

使用命令:

report_design

可以得到该设计的最大,最小的操作条件和线上负载.

report_reference

静态时序分析前的准备工作17

可以得到每个模块及其面积的信息.而且更重要的是,它能在各个模块中识别出stamp模型,以及快速时序模型,其余的模块都是门级的网表.

§4.7 检查所设置的约束以及该设计的结构

在开始静态时序分析之前,注意要执行下面的指令进行检查:

check_timing

该命令会检查该设计的结构和约束,给出可能存在的时序问题,如果存在问题的话则给出errors或者warnings.可以在check_timing命令中设定参数,以得到有

关error和warning的更多信息.具体的参数可以用命令"check_timing -help"

查看,限于篇幅这里不再赘述.

在本文所分析的设计AM2910中,check_timing之后产生了一些warnings,这

是因为设计中存在的无约束的端口引起的.解决这个问题的方法,将在下一章中讲述.

静态时序分析18

[1 楼] | Posted: 2007-01-16 13:52 [顶端]

qchpanna

级别: 一袋

精华: 12

发帖: 866

功力: 11 年

金钱: 47 欣元

宣传积分: 20 点

注册时间:2007-01-08

最后登录:2009-07-18 【资料】【短信】【引用】【编辑】

五章静态时序分析

这一章将讲述对AM2910进行静态时序分析的过程.

§5.1 设置端口延迟并检验时序

对于所有与时钟相关的端口,都要设置输入,输出的延迟.

set_input_delay 0.0 [all_inputs] -clock $clock

set_output_delay 2.0 [get_port INTERRUPT_DRIVER_ENABLE] -clock $clock set_output_delay 1.25 [get_port MAPPING_ROM_ENABLE] -clock $clock

set_output_delay 0.5 [get_port OVERFLOW] -clock $clock

set_output_delay 1.0 [get_port PIPELINE_ENABLE] -clock $clock

set_output_delay 1.0 [get_port Y_OUTPUT] -clock $clock

除此之外,还要对所有的输入端设置一个驱动单元,对所有的输出端设置电容负载.

set_driving_cell -lib_cell IV -library pt_lib [all_inputs]

set_capacitance 0.5 [all_outputs]

说明:clock是在第四章中定义的变量(set clock [get_clock CLOCK]),

以后出现将不再说明.

完成以上的设置之后,可以再运行一次检查:

check_timing

在上一章中我们看到,在对设计AM2910运行check_timing的时候出现了错误信息,产生的原因是设计中存在着无约束的端口.现在再次检查,没有warnings 出现,该设计已经是完全约束的了.

§5.2 保存以上的设置

使用write_script命令将所作的设置保存到一个脚本文件中,这样在下一次

运行的时候可以直接通过该文件来完成所有的设置.

该命令可以生成三种格式的文件:

静态时序分析19

1)Design Compiler的dcsh格式(.dcsh)

2)Design Compiler的dctcl格式(.tcl)

3)PrimeTime的文件格式:(.pt)

命令的形式为:

write_script -format dctc1 -output AM2910.tc1

write_script -format dcsh -output AM2910.dcsh

write_script -format ptsh -output AM2910.pt

事实上这种脚本文件,也是PrimeTime和Design Compiler传递数据的一种主要方法.对于使用Design compiler来综合的电路设计,可以把一些重要的设置直接继承到PrimeTime中来.

在生成的脚本文件中,包含了以下的信息:

Clocks names,waveforms,latency,uncertainty

Timing Exceptions false paths,multicycle paths,path groups,

minimun and maximum delays

Delays input and output delays,timing checks,all

delay annotations

Net and Port capacitance,resistance,fanout

Design Environment wire load model,operation condition,drive,

driving cell,transition

Design Rules minimum and maximum capacitance,fanout,

transition

可以看到,表5-1中包括了我们前面所作的所有的设置.

调用脚本的方法是:

source -echo 脚本文件名

§5.3 基本分析

运行report_constraint命令,得到的constraint report中包括了对整个设

计的时序信息的总结.通过它,可以检查设计中存在的timing violations和constrains violations.

静态时序分析20

具体来说constraint report中包含了以下的内容:

最大和最小延迟(min and max delay)

最小时钟脉冲宽度(min clock pulse width)

最小周期(min period)

recovery and removal on registers

时钟-门的建立和保持(clock-gating setup and hold)

最大和最小电容(min and max capacitance)

最大和最小转换周期(min and max transition)

最大和最小扇出(min and max fanout)

如果在命令中加入-verbose参数,将在report中得到更详细的细节.如果加

入-all_violators参数,在report中会列出对于每一项约束,设计中违反最严重

的端点.

§5.4 生成path timing report

使用report_timing命令,生成基于路径的timing report.

在没有任何命令参数时,在report中列出的是对于每个path group,该设计

中最长的最大路径.如果需要的是该设计中最短的最小路径的话,可以在命令中加上-delay min参数.

report_timing命令是一个很灵活的命令,可以用-help来查看其它的参数.

§5.5 设置时序中的例外(timing exceptions)

Timing exceptions包括了:错误路径(false paths),多循环路径(multic

-ycle paths),用户定义的最大最小延迟约束以及无效的时序arcs .必须正确

地定义timing exceptions,否则它们不会被PrimeTime接受.例如错误路径和多

循环路径必须指定一个完整的,有效的路径,包括正确的起点和终点.其中起点应该是主要的输入端口,时钟,管脚或者单元,而终点应该是主要的输出口,时钟,

管脚或者单元.

需要注意:

静态时序分析21

1)假如没有进行update,PrimeTime不会检验timing exceptions的正确

性.可以使用report_exceptions命令,来确定它们是否是正确的.

2)在该命令中加上-ignored参数,看看是否有exceptions因为不正确而被PrimeTime忽略了.更正被忽略的exceptions,否则它们将不起作用.

下面的是为AM2910设置timing exceptions的命令,我们在两个时钟间建立

一个多循环路径.

set_false_path -from U3/OUTPUT_reg

/CP -to U2/OUTPUT_reg

/D

set_multicycle_path -setup 2 -from INSTRUCTION

-to U2/OUTPUT_reg

set_multicycle_path -hold 1 -from INSTRUCTION

-to U2/OUTPUT_reg

update_timing

report_exceptions -ignored

没有被忽略的exceptions,说明对timing exceptions的定义是正确的..

§5.6 再次进行分析

在定义好timing exceptions之后,再次进行分析,生成新的constraint

report和timing report.

report_constraint -all_violators

report_timing

可以看到设置了exceptions之后,viloators的个数,以及slack的数值都

减少了.

从第四章到这里,讲述了用PrimeTime对AM2910进行静态时序分析的过程, 其中的pt_shell命令保存在了一个脚本文件中,详见附录[2].

Formality简介22

六章Formality简介

在现在的数字集成电路设计流程中,有很多步骤都需要进行逆向的验证.随着

数字集成电路的规模,复杂度,以及在验证过程中需要的模拟矢量的不断增加,用传统的模拟器进行逆向验证越来越成为了整个设计过程中的瓶颈之所在.

这主要是因为:为了确保设计达到所需要的各方面的要求,需要数量众多的模

拟矢量.而数量众多的矢量,日益增大的设计尺寸,都增大了验证过程中需要交换和处理的数据量.此外,由于电路尺寸和复杂度的增加,对于每一个激励,逻辑模拟工具都要进行更多的处理,也是导致这个瓶颈的因素之一.

在这样一种背景下,形式验证(Formal Verification)技术显示出了较多的

优点.这一章将对Synopsys的形式验证工具Formality作一个简单的介绍.

§6.1 Formality的基本特点

所谓形式验证,就是通过比较两个设计在逻辑功能是否等同的方法来验证电路

的功能.这种方法的优点在于它不仅提高了验证的速度,可以在相当大的程度上缩短数字设计的周期,而且更重要的是,它摆脱了工艺的约束和仿真test bench的

不完全性,更加全面地检查了电路的功能.

Formality是形式验证的工具,你可以用它来比较一个修改后的设计和它原来

的版本,或者一个RTL级的设计和它的门级网表在功能上是否一致.

Formality有下面一些特点:

跟事件驱动的模拟器相比,能要快验证出两个设计在功能上是否等同;

不依赖于矢量,因此能提供更完全的验证;

可以实现RTL-to-RTL,RTL-to-gate,gate-to-gate之间的验证;

有定位功能,可以帮助你找出两个设计之间功能不等同的原因;

可以使用的文件格式有VHDL,Verilog,Synopsys的.db格式,以及EDIF

网表等;

可以实现自动的分层验证;

Formality简介23

使用Design Compiler的技术库;

同PrimeTime一样提供两种界面:图形用户界面GUI和命令行界面

fm_shell;

§6.2 Formality在数字设计过程中的应用

在现在的EDA设计方法中,Formality可以很好地取代传统的模拟工具去完成

逆向验证.由于Formality在验证时不需要任何输入矢量,所以会带来两个显著的

优点:更短的验证时间,更完全的验证结果.它与静态时序分析工具结合在一起,

可以在相当大的程度上改善数字电路的设计过程.

任何时候对一个电路设计进行了改动之后,都可以使用Formaliyt来验证这种

改动是否影响或者改变了该设计的逻辑功能.如果证实了改动后的设计和源设计是等价的之后,就可以把修改后的设计作为下一次验证时的"源设计".由于结构相

似的设计所需要的比较时间较短,这样也就节省了花费在验证上的时间.

下图是一个典型的ASIC的验证过程,从中我们可以清楚地看到Formality在

数字设计过程中的作用.

Formality简介24

Figure6-1 ASIC的验证过程

§6.3 Formality的功能

我们可以把Formality的功能大致划分为四个方面,如图6-2所示.

1)设计管理

设计管理指的是你可以对需要验证的设计进行管理和控制,例如读入设计,设

置参数,保存和再次调用设置等等.

2)验证

Formality简介25

Formality的主要功能.

3)生成报告

在进行验证的过程中,Formality会生成好几种类型的报告,从中你可以得到

关于验证,诊断的结果等等有用的信息.

4)诊断

当验证的结果是两个设计并不等同时,你可以使用诊断功能去寻找不等同的原

因.关于诊断等功能的更详细的细节将在下面的章节中讲述.

Figure6-2 Formality的主要功能

§6.4 验证流程

下图给出了使用Formality进行形式验证的一般流程:

开始

设置环境

Formality简介26

一般的情况下从开始一直到"运行分析"这一步骤,都是使用fm_shell的命

令行模式来完成;其后的步骤则通常使用GUI完成.

创建container

读入库文件

读入设计

定义Ref和

Impl Design

en

链接

验证

通过Debug

No

完成

形式验证27

七章形式验证

这一章将讲述使用Formality进行形式验证的过程.

§7.1 fm_shell命令

与PrimeTime一样,Formality的命令也是基于Tcl的,所以关于命令使用的

基本知识这里就不再重复了.在遇到不了解的命令时同样可以使用help和man命令或者-help参数来查看具体信息.

需要说明的是,很多UNIX的命令在fm_shell中可以直接使用,例如ls等;

但是另外一些命令,例如cat,需要用下面的方式来使用:

sh cat

§7.2 一些基本概念

§7.2.1 Reference Design和Implementation Design

从前面的介绍可以知道,在形式验证的过程中涉及到两个设计:一个是标准

的,其逻辑功能符合要求的设计,在Synopsys的术语中称之为Reference Desi

-gn;另一个是修改后的,其逻辑功能尚待验证的设计,称之为Implementation Design.在本文所使用的例子中,Reference Design和Implementation Design

分别放在我的工作目录的dbs和netlists子目录中,后者是前者的一个修改后的

版本,其中包含了测试电路.它们使用的库文件放在lib目录中.所以,首先把

lib,dbs,netlists目录加入到查询路径中:

set search_path ". ./lib ./dbs ./netlists"

§7.2.2 container

我们可以把container理解为Formality用来读入设计的一个空间,或者说一个"集装箱".一般情况下要建立两个container来分别保存Reference Design

和Implementation Design.对于一个container,可以进行命名,删除,关闭等

操作.一个container中包含有一个设计,以及该设计所需要的所有的技术库和设

计库,如下图所示:

形式验证28

Figure7-1 container示意图

§7.3 读入共享技术库

在开始验证流程之前,首先要读入所有的会被用到的共享技术库.留意一下运

行Formality时显示的信息,可以看到它已经自动读入了一个通用的共享技术库: gtech.db.在本文所使用的例子中,还需要读入位于./lib目录下的cba_core.db

这个库:

read_db cba_core.db

使用下面的命令可以查看已经读入的库的情况:

report_libraries -short

report_libraries

前一个命令将给出每个库包含的单元数,以及它们是否共享的情况.后者将列出库中包含的所有单元.

说明:实际上,在键入一个命令及其参数时,只要你输入的字符能把该命令同

其他命令区分开来就可以了,不必输入所有的字符,例如上面的第一个命令就可以缩略成下面的形式:

report_li -s

形式验证29

§7.4 设置Reference Design

1)建立一个新的container

在读入一个设计之前,首先要为它建立一个container:

create_container ref

这里"ref"是container的名字.

2)读入门级网表

Formality可以接受以下几种格式的设计:

Synopsys的.db格式

Verilog

VHDl

EDIF

例子中,Reference设计(synth.db文件中的mR4000)是.db文件,Implemen

-tation设计(clk_insert1.v)是verilog网表文件.把synth.db读入到当前的container:

read_db synth.db

如是使用GUI界面的话,Formality会弹出一个container窗口,可以清楚

地看到其中包含了两个共享设计库cba_core和gtech,以及刚刚读入的设计,它

被自动命名为WORK.我们将要进行验证的Reference Design是其中的一个名字为mR4000的子设计.

3)确认该设计为Reference Design

set_reference_design ref:/WORK/mR4000

说明:在命令中要键入设计全名(full designID).设计全名的一般形式是:

container名:/库名/设计名

在完成这一步后,Formality生成了一个ref变量,指代Reference Design,

即上面的设计全名.

4)链接Reference Design

事实上,Formality在进行形式验证时会自动进行链接.但是为了发现可能出

现的错误,例如设计名或者输入输出的不匹配等等,可以人工进行一下链接.

形式验证30

link $ref

§7.5 设置Implementation Design

这个过程跟Reference Design的设置过程是类似的.

1)建立一个名为impl的container,读入clk_insert1.v文件

read_verilog -c impl -netlist clk_insert1.v

说明:-netlist参数说明了需要读入的文件是一个网表文件,其中不含有RTL

的内容.这样可以减少Formality读入文件所花的时间.

2)确认Implementation Design

set_implementation_design impl:/WORK/mR4000

3)链接该设计

link $impl

4)把该设计设置为当前设计,然后把其中的test_se端口设置为0.:

current_design §impl

set_constant test_se 0

设置当前设计的好处是在命令中不需要键入设计全名.前面提到Implementa

-tion Design比Reference Design多加入了测试电路,而test_se是其中顶层的

输入信号,把它的逻辑状态设为0,就消除了测试电路对于验证的影响.

§7.6 保存及恢复所作的设置

可以说到这一个步骤,已经完成了形式验证前必需的准备工作.一般来说,下

面的步骤,特别是Debug,在GUI界面下完成会比较方便,当然使用fm_shell也

是完全可以的.所以这里先将上面所作的设置保存到.fss文件中:

save_session -replace -full fm_shell_session

exit

然后运行GUI,并恢复设置:

restore_session fm_shell_session.fss

形式验证31

§7.7 验证

运行verify命令,Formality将根据所作的设置,对ref和impl中的两个设

计进行验证.如果验证通过的话,就说明在两个设计在逻辑功能上是等同的.

实际验证的结果是:

verification failed

3 Failing compare points

即有三个不匹配的点导致了验证的失败.下一章将利用Formality的诊断功能,找

出这些点并进行debug.

对验证失败的设计进行Debug 32

八章对验证失败的设计进行Debug

上一章对一个具体的数字设计进行了形式验证,验证的结果是发现了在Refe

-rence Desing和Implementation Design中存在着不匹配的点,从而导致了它们

功能的不等同.这一章将分别利用fm_shell的诊断程序和GUI的逻辑锥图进行De

-bug,其中后者更加直观,是推荐使用的方法.

§8.1 查看不匹配点的详细信息

在验证失败之后,我们需要找出导致两个设计功能差异的原因并将其修正.首

先可以查看不匹配点的详细信息:

report_failing_points

可以看到在两个设计中,不匹配的对象有三个:Instruction_reg[10],

Instruction_reg[16]和state_reg[9].

§8.2 诊断程序

在第六章的图6-2中可以看到,Formality的功能中包括一个叫做"诊断"的

部份,所谓"诊断"就是说对于Implementation和Reference Design的不匹配, Formality可以识别出其中可能的原因.在"诊断"过程中,Formality分析电路

的行为,并计算当改变设计中的某一个net时,原来不匹配的部份获得改善的可能性.

运行诊断程序,并查看其产生的report:

diagnose

report_error_candidates

结果如下:

对验证失败的设计进行Debug 33

在生成的报告文件中,Formality列出了当某个net或者cell的逻辑功能被

改变时,不匹配部份通过的百分比.例如,如果一个net的百分比是100%,那么

只要对它进行修正,所有的不匹配都会消失.

在本文的例子中,可以通过改变CLK的功能来修正大部份的不匹配.但是在一

般的情况下,我们不希望改动时钟信号,因为这样很可能会带来更多的问题.而且可以看到,没有一个网点的百分比是100%,所以问题的症结肯定不是只存在于一个网点上.所以这个时候最好分别对每一个不匹配的点分别进行诊断和debug,以便更精确地找到问题.

首先我们对第一个不匹配点,即instruction_reg[10]进行诊断:

diagnose $impl/Instruction_reg[10]

report_error_candidates

其结果是:

Error candidates:

% type Name

----- ---- ----

100.0 net Clk

100.0 net n1023

100.0 net n42

对验证失败的设计进行Debug 34

此时修改Clk,n1023,n42三个net中的每一个都可以解决Instrution_reg

[10]处的不匹配问题.当然一般对Clk不作改动,可以在另外两者中选择一个.

§8.3 逻辑锥

§8.3.1 逻辑锥的概念

图8-1可以比较形象地说明"逻辑锥(Logic Cone)"这个概念,图中最右

Figure8-1 逻辑锥

边是一个输出端口,在形式验证的过程中它将被作为一个比较点,与另一个设计中

的相应的对象进行比较,比较的过程实际上就是考察它们的逻辑锥是否等价.所以对于不匹配的点,我们也应该通过对它们逻辑锥的分析,来找出具体的原因.

§8.3.2 查看不匹配点的逻辑锥

8.1节和8.2节是利用fm_shell对不匹配的原因进行分析,利用GUI来查看

两个设计中不匹配点的逻辑锥,可以更直观地找出其中的原因.

在GUI界面的Report菜单中选中Failing Points选项,在弹出Report窗口

的Edit菜单中选中Show Size,显示每个不匹配点的大小,这实际上也就是fm_

对验证失败的设计进行Debug 35

shell中的report_failing_points命令.一般的习惯是从最小的点开始分析,右

击impl:/WORK/mR4000/Instruction_reg[10],选择view logic cone.如下图所

示,在上下两部份窗口中分别显示了Reference Design和Implementation Desi

-gn的逻辑锥.

Figure7-3 不匹配点的逻辑锥

在8.2节中诊断之后得到的报告中,给出了与不匹配相关的百分比,这个百分

比是我们解决不匹配的问题的很重要的依据.在这里的逻辑锥图中,线条的颜色也表示了这种百分比,其对应关系是:

亮蓝色0%

灰白色<=24%

绿色25%-49%

Instruction

_reg[10]

Clk n1023 n42

对验证失败的设计进行Debug 36

紫色50%-89%

红色90%-100%

可以看到,连接到Instruction_reg[10]模块的Clk输入端的线条是红色的,

其中包括了Clk,n1023,n42三个net,其他的net则是亮蓝色的.结合上面的表

格可以知道,这跟我们在8.2节中得到诊断结论也是一致的.

说明:图中白色的元件表示在待验证设计中有,而在参考设计没有的元件.

§8.3.3 使用逻辑锥来Debug

放大图形,直到看清楚instruction_reg[10]的管脚.选中与CLK脚相连的

net,右击并选中Isolate Subcone,此时只显示与CLK脚相关的逻辑锥.这样我

们可以对比在Reference Design和Implementation Design中,驱动Clk脚的逻辑.如下图所示,在Implementation中多出了一个buffer和一个反相器,这就是

导致这一点没有通过验证的原因.

Figure7-4 使用逻辑锥Debug

对验证失败的设计进行Debug 37

§8.3.4 通过逻辑值来分析

在验证失败的时候,Formality会生成一组输入矢量来描述具体的逻辑情况.

可以在逻辑锥图查看每一个输入矢量的逻辑值,方法是在逻辑锥窗口中的View menu菜单中选中Apply First Pattern,就可以看到元件的管脚和连线旁边都标注了逻辑值.比较两个设计中逻辑值的差异,从中寻找有助于debug的信息.限于篇幅,这部份的内容就不深入讲述了.

数字通信原理复习题

数字通信原理复习题 单项选择题 1.数字通信相对于模拟通信最显着的特点是( B )。 A.占用频带小B.抗干扰能力强 C.传输容量大D.易于频分复用 2.以下属于数字信号是( D )。 A.PAM信号B.PDM信号 C.PPM 信号D.PCM信号 3.通信系统可分为基带传输和频带传输,以下属于频带传输方式的是( C )。 A.PAM传输方式 B.PCM传输方式 C.PSK 传输方式 D.⊿M传输方式 4.通信系统可分为基带传输和频带传输,以下属于基带传输方式的是( B )。 A.PSK传输方式 B.PCM传输方式 C.QAM 传输方式 D.SSB传输方式 5.以下属于码元速率单位的是( A )。 A.波特 B.比特 C.波特/s D.比特/s 6.PCM30/32系统发送复帧同步码的周期是( D) A.125sμB.250sμ C.1ms D.2ms 7.PCM30/32系统发送1帧同步码的周期是( A) A.125sμB.250sμ C.1ms D.2ms 8.人讲话的语声信号为( A) A.模拟信号 B.数字信号 C.调相信号 D.调频 信号 9.调制信道的传输特性不好将对编码信道产生影响,其结果是对数字信号带来( B )。 A.噪声干扰 B.码间干扰 C.突发干扰D.噪声干扰和突发干扰 10.连续信道的信道容量将受到“三要素”的限制,其“三要素”是( B )。 A.带宽、信号功率、信息量 B.带宽、信号功率、噪声功率谱密度 C.带宽、信号功率、噪声功率 D.信息量、带宽、噪声功率谱密度 11.以下不能无限制地增大信道容量的方法是( D )。 A.无限制提高信噪比 B.无限制减小噪声C.无限制提高信号功率 D.无限制增加带宽12.根据香农公式以下关系正确的是( A )。 A.信道容量一定,信道的带宽越宽信噪比的要求越小; B.信道的容量与信道的带宽成正比; C.信道容量一定,信道的带宽越宽信噪比的要求 越高; D.信道的容量与信噪比成正比。 13.以下不属于线性调制的调制方式是( D )。 补:非线性调制:频率调制FM,相位调制PM A.AM B.DSB C.SSB D.FM 14.设某传输码序列为+1-10000+100-1+100-1+100-1, 该传输码属于( D )。 A.RZ码 B.HDB3码 C.CMI 码 D.AMI码 15.设某传输码序列为 +1-100-1+100+1-1000-1+100-1,该传输码属于 ( C )。 A.AMI码B.CMI码 C. 3 HDB 码D.RZ码 16.我国PCM数字设备间的传输接口码型是 ( B )。 A.AMI码 B.HDB3码 C.NRZ码 D.RZ码 17.以下数字码型中,不具备一定的检测差错能力码 为( A )。 A.NRZ码 B.CMI码 C.AMI码D.HDB3码 18.以下可以消除或减小码间干扰方法是( B )。 A.自动增益控制技术B.均衡技术 C.最佳接收技术D.量化技术 19.在数字基带传输系统中,以下不能消除码间干扰 系统传输特性为( C )。 A.理想低通特性 B.升余弦 特性 C.匹配滤波特性 D.线性滚 降特性 20.观察眼图应使用的仪表是( C )。 A.频率计 B.万用表 C.示波器 D.扫频仪 21.三种数字调制方式之间,其已调信号占用频带的 大小关系为( C )。 A.2ASK= 2PSK= 2FSK B.2ASK= 2PSK>2FSK C.2FSK>2PSK= 2ASK D.2FSK> 2PSK>2ASK 22.在数字调制技术中,其采用的进制数越高,则 ( C )。 A.抗干扰能力越强B.占用的频带越宽 C.频谱利用率越高D.实现越简单 23.在误码率相同的条件下,三种数字调制方式之间 抗干扰性能好坏的关系为( B )。 A.2ASK>2FSK>2PSK B.2PSK >2FSK>2ASK

2018年《新闻学概论》考研题集(复旦大学出版社 李良荣版本)

复习题 一、选择题 1、西方新闻学的研究最早产生于(). A美国B英国C德国D意大利 2、当今我国新闻事业的性质是() A国有性质,统一调配 B私有性质,企业管理 C事业性质,企业管理 D事业性质,宏观调控 3、()年,美国密苏里大学成立了新闻学院 A1908B1909C1918D1919 4、1996年1月,我国第一家报业集团()成立 A南方报业集团 B光明日报报业集团 C人民日报报业集团 D《广州日报》报业集团 5、新闻学的中心议题是() A社会生活的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的反作用。 B客观社会的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的作用。 C社会生活的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的作用。 D客观社会的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的反作用。 6、国人自撰的第一本新闻学著作() A《新闻学》,徐宝璜著B《中国报学史》,戈公振著 C《新闻学》,王韬著D《实际应用新闻学》,邵飘萍著 7、中国第一篇新闻学专文是() A《论新闻学》B《新闻纸略论》

C《论报刊》D《报刊史学论》 8、中国共产党新闻史上的第一次改革在() A1840年代B1930年代 C1940年代D1950年代 9、世界第一个现代新闻学院在()成立 A密苏里大学B伯明翰大学C哥伦比亚大学D斯坦福大学10、我国第一个新闻学术研究团体是() A北京大学新闻学研究会B清华大学新闻学研究会 C上海圣约翰大学研究会D复旦大学研究会 二、填空题 1、新闻学包括_____________、_____________和_____________三部分内容。 2、中国第一本采访学专著是_____________著的_____________。 3、中国第一个新闻教育机构是_____________成立的_____________。 4、世界上最早的印刷周报在_____________年的_____________国出现。 5、徐宝璜的_____________、邵飘萍的_____________和戈公振的_____________可以视为中国新闻学的三部奠基著作。 6、新闻界的四大公害是:虚假新闻、_____________、_____________和不良广告。 三、判断正误 1、1940年代的新闻改革是由中共中央机关报《解放日报》发表《致读者》社论发起()。 2、1978年以来的新闻改革,我国新闻界重新确认新闻价值是新闻选择的标准之一()。 3、狭义的新闻学专指新闻理论或理论新闻学()。 4、新闻学是以我国新闻事业作为自己的研究对象()。 5、新闻学研究的重点是新闻事业和人类社会的关系,探索新闻事业的产生、发展的特殊规律和新闻工作的基本要求()。 四、名词解释 《新闻学》事业行政企业管理有偿新闻

数字通信期末复习题

《数字通信技术》期末复习题 一、选择题 1.非均匀量化的特点是() A.量化间隔不随信号幅度大小而改变B.信号幅度大时,量化间隔小 C.信号幅度小时,量化间隔大D.信号幅度小时,量化间隔小 2.对于M进制的离散消息源消息源,其平均信息量最大时的概率分布为()。 A.均匀分布 B.正态分布 C.瑞利分布 D.指数分布 3.通信系统可分为基带传输和频带传输,以下属于基带传输方式的是()。 A.PSK传输方式 B.PCM传输方式 C.QAM传输方式 D.SSB传输方式 4.产生频率选择性衰落的原因是()。 A.幅频畸变B.相频畸变 C.多径传播D.瑞利衰落 5.若采用空间分集技术,抗选择性衰落较差合并方式为()。 A.最佳选择方式B.最大功率合并方式 C.最小色散合并方式D.最大信噪比合并方式 6.以下属于恒参信道的是()。 A.微波对流层散射信道 B.超短波电离层散射信道 C.短波电离层反射信道 D.微波中继信道 7.被用在实际应用中的抽样方式为()。 A.冲击抽样 B.自然抽样 C.平顶抽样 D.冲击抽样和平顶抽样 https://www.sodocs.net/doc/ce1833499.html,ITT规定,数字通信中语音信号的抽样频率是( ) A. 8kHz B. 16kHz C. 4kHz D. 12kHz 9.人讲话的语声信号为( ) A.模拟信号 B.数字信号 C.调相信号 D.调频信号 10.衡量系统有效性最全面的指标是()。 A.系统的响应速率 B.系统的带宽 C.系统的频带利用率 D.系统的传输速率 11.以下属于数字信号是()。 A.PAM信号B.PDM信号 C.PPM信号D.PCM信号 12.样值为513?,它属于A律13折线的(l=8)( D ) A.第4量化 B.第5量化段 C.第6量化段 D.第7量化段 13.以下4种传输码型中含有直流分量的传输码型是( A ) A.双极性归零码B.HDB3码C.AMI码D.CMI码 14.样值为444?,它属于A律13折线的(l=8)( C ) A.第4量化段B.第5量化段C.第6量化段 D.第7量化段 15.按信号特征通信系统可分为模拟和数字通信系统,以下为数字通信系统的是()。 A.采用PAM方式的通信系统 B.采用SSB方式的通信系统 C.采用VSB方式的通信系统 D.采用PCM方式的通信系统 16.在数字通信系统中,传输速率属于通信系统性能指标中的()。

新闻学概论考试资料

新闻学概论资料整理 【名词解释】 1、新闻: A.新闻是新近发生事实的报道(形式) B.新闻是新近事实变动的信息(实质) 2、信息的定义: A.广义信息:指所有对象在相互联系作用过程中呈现出来的各自的属性 B.一般信息:指与人类的认识过程和传播活动相关的知识积累。 C.狭义信息:指能够消除受信者随机不确定性的东西。 3、宣传:宣传是运用各种有意义的符号传播一定的观念(理论、方针、政策、伦理道德、 立场态度等),以影响人们的思想、引导人们行动的一种社会行为。 4、大众传播:由一些机构和技术所构成,专业化群体凭借这些机构和技术通过技术手段向 为数众多,各不相同而分布广泛的受众传播符号。 5、舆论: A.定义:舆论是在特定的时间空间里,公众对于特定的社会公共事务公开表达的基本 一致的意见或态度。 B.特点:舆论作为公众意见是社会评价的一种,是社会心理的反映,它以公众利益为 基础,以公共事务为指向,并因此具备许多独有的个性:公开性、公共性、急迫性、广泛性、评价性。 6、新闻活动:是一种普遍的社会现象:它不仅局限于通过大众传播工具传递信息,也包括 人们为沟通客观世界新近变动的情况所进行的一切活动。 7、新闻事业:是新闻事件采集、生产、传播以及管理机构的总称,是现代社会的一种制度

性安排。 8、新闻要素:是新闻构成必须的材料。 五要素:发生新闻的主角(who),发生的事情(what),发生的时间(when),发生的地点(where),发生的原因(why) 9、硬新闻——关系到国计民生以及人们切身利益的新闻。要求: A.有极严格的时间要求,报道必须迅速,越快越好。 B.要求报道尽可能地准确,叙述尽可能地量化。 10、软新闻——富有人情味、纯知识、纯趣味性的新闻。它和人们切身利益无多大关 系,向受众提供娱乐、开阔眼界、增长知识、陶冶情操或作为谈资。它没有明确的时间界定,多属于延缓性新闻,其公开发表也没有时间的紧迫性。讲究写作技巧,须用生动活泼的文笔来写,写出情趣来(散文笔法)。 11、新闻学: A.研究对象:人类社会客观存在的新闻现象。 B.研究重点:新闻媒体和人类社会的关系 C.研究内容:探索新闻事业的产生、发展的特殊规律和新闻工作的基本要求。 D.研究范围:新闻理论;新闻史(重点是新闻媒体产生、发展的历史);新闻业务 12、新闻选择:对现实生活中发生的事实加以鉴别,选出新闻媒介值得传播的事实。 13、新闻价值:新闻所陈述的事情能在多大程度上切合受众的信息需求(共同兴趣) 14、新闻价值观:在长期的频繁的新闻传播收集中,新闻传播者与受传者逐渐形成了判 断新闻价值的较为稳定的看法。这种个人或团体判断新闻价值的主观标准就是新闻价值观,即新闻价值取向。 15、宣传价值:事实本身所包含的有利于传播者、能够证明和说明传播者主张的素质。

数字通信原理作业参考答案

数字通信原理作业答案 作业一 一、填空题 1、若二进制信号的码元速率为1200B ,则对应信息速率为1200bit/s ,若以相同的信息传输数率传输八进制信号,码元速率为400B 。 2、从信息传输角度来看,通信系统的最主要质量指标是有效性和可靠性。 3、八进制数字信号信息传输速率为600b/s ,其码元速率为200B ,若传送1小时后,接收到10个错误码元,其误码率为1.39*10-5。 二、简答计算 1. 设某二进制数字基带信号的基本脉冲如图1所示。图中为码元宽度,数字信息“1”和“0”分别用 的有无表示,它们出现的概率分别为及( ): (1)求该数字信号的功率谱密度; (2)该序列是否存在离散分量? (3)该数字基带信号的带宽是多少? 解答: (1) (2)令 m=+1或-1 带入上式,得 存在离散分量f b (3)带宽 2.己知滤波器的 具有如图2所示的特性(码元速率变化时特性不变),当 采用以下码元速率时: (a )码元速率=500Baud (b )码元速率=1000Baud (c )码元速率=l500Baud (d )码元速率=2000Baud 图1 图2 [][]s u v 2 2 b 01b 0b 1b b m 2 2 b 1b 0b 1b b m P ()P ()P () f P(1P)G (f )G (f )f PG (mf )(1P)G (mf )(f mf ) f P(1P)G (f )f PG (mf )(1P)G (mf )(f mf ) ∞ =-∞ ∞ =-∞ ω=ω+ω=--++-δ-=-+ +-δ-∑ ∑ b b b 11b T fT T G (f )Sa() G (f )22 π== π []2 v b b 1b b m 2 2b 0b 1b b 0b P ()f PG (mf )(1P)G (mf )(f mf ) f PG (f )(1P)G (f )(f f )G (f )0 ∞=-∞ ω= +-δ-=+-δ-=∑v b 1P P ()(f f )-ω= δ-π b f 2f =

数字通信习题解答

或电流)的取值随时间连续变化。由于模拟信号的强度是随时间连续变化的,所以模拟信号也称为连续信号。 数字信号:与模拟信号相反,数字信号强度参量的取值是离散变化的。数字信号又叫离散信号,离散的含义是其强度的取值是有限个数值。 2,通信系统模型包括信源·变换器·信道·反变换器·信宿和噪声。通信的目的是传递和交换信息。3.试述数字通信的主要特点。(1)抗干扰能力强,无噪声积累(2)便于加密处理(3)利于采用时分复用实现多路通信(4)设备便于集成化、小型化(5) 占用频带宽 4·数字通信系统有效性指标 (1)信息传输速率:信道的传输速率是以每秒钟所传输的信息量来衡量的。信息传输速率的单位是比特/秒,或写成bit/s,即是每秒传输二进制码元的个数 (2)符号传输速率符号传输速率也叫码元速率。它是指单位时间内所传输码元的数目,其单位为“波特”(bd)。 (3)频带利用率频带利用率是指单位频带内的传输速率。 可靠性指标(1)误码率在传输过程中发生误码的码元个数与传输的总码元数之比。(2)信号抖动在数字通信系统中,信号抖动是指数字信号码元相对于标准位置的随机偏移。 第二章3、数位通信的特点有:①抗干扰性强,无噪声积累②便于加密处理。③ 利于采用时分复用实现多路通信。④设备便于集成化、小型化⑤占用频带宽。6 、 PCM3.4KHz的信号通过,避免PAM信号产生折迭噪声。7、PCM 样信号基本频谱以外的,即3.4KHz以上的各高次分量的频谱以恢复(或重建) 原模拟信号。第4 章1 隔的特征来分开各路信号的,具体来说,就是将时间分成为均匀的时间间隔,将各路信号的传输时间分配在不同的时间间隔内,以达到互相分开多路传输的目的。2、发端低通滤波器的目的是为了避免抽样后的PAM信号产生折叠噪声。此低通滤波器的截止频率为3.4kHz,这样,各路语音信号的频率都被限制在3.4kHz之内,高于3.4kHz的信号不会通过。3、时钟同步是指收端的时钟频率和发端时钟频率相同。收端和发端都要对时钟信号进行统一的控制,这项工作由定时系统来完成。定时系统包括发端定时和收端定时两种,前者是主动式,后者为从属式。 4、帧同步的目的是要求收端与发端相应的话路在时间上要对准,就是要从收到的信码流中分辨出哪8位是一个样值的码字,以便正确解码;还要能分辨出这8位码是哪一个话路的,以便正确分路。 5、前方保护是为了防止假失步。帧同步系统一旦出现帧失步,并不立即进行调整。因为帧失步可能是真正的帧失步,也可能是假失步。真失步是由于收发两端帧结构没有对准造成的;而假失步则是由信道误码造成的。 后方保护是为了防止伪同步。PCM30/32路系统的同步捕捉方式是采用逐步移位捕捉的方式。在捕捉帧同步码的过程中,可能会遇到伪同步码。如果根据收到的伪同步码进行系统调整,使系统恢复成同步状态,由于它不是真的帧同步码,即不是真的帧同步,还将经过前方保护才能重新开始捕捉,因而使同步恢复时间拉长。为了防止出现伪同步码造成的不利影响,采用了后方保护措施,即在捕捉到帧同步码的过程中,只要在连续捕捉到n(n为后方保护计数)次帧同步码后,才能认为系统已经真正恢复到了同步状态。 6、帧同步码的选择即码位数的选择和同步码型的选择,其主要考虑的因素是产生伪同步码的可能性尽量少,即由信息码而产生的伪同步码的概率越小越好。因此,帧同步码要具有特殊的码型,另外,帧同步码组长度选的长些较好,这是因为信息码中出现伪同步码的概率随帧同步码组长度的增加而减少。但帧同步码组较长时,势必会降低信道的容量,所以应综合考虑帧同步码组的长度。 7、PCM30/32路系统中,1帧256 8 32= ? =bit 1秒传8000帧 1792 8000 32 7= ? ? = B f kb/s 12、前方保护的前提状态是同步状态。后方保护的前提状态是失步状态。第6章 答:高次群的形成一般不采用PCM复用,而采用数字复接的方法。 以二次群为例,假如采用PCM复用,要对120路话音信号分别按8kHz抽样,一帧125μs时间内有120多个路时隙,一个路时隙约等于一次群一个路时隙的1/4,即每个样值编8位码的时间仅为1μs,编码速度是一次群的四倍。而编码速度越快,对编码器的元件精度要求越高,不易实现。 答:按位复接要求复接电路存储容量小,简单易行。但这种方法破坏了一个字节的完整性,不利于以字节(即码字)为单位的信号的处理和交换。 按字复接要求有较大的存储容量,但保证了一个码字的完整性,有利于以字节为单位的信号的处理和交换。 答:若被复接的几个低次群的数码率不同,几个低次群复接后的数码就会产生重叠和错位,所以复接前首先要解决同步问题。 6-4 数字复接的方法有哪几种?PDH采用哪一种? 答:数字复接同步的方法,有同步复接和异步复接两种。 PDH采用异步复接。 数字复接器的功能是把四个支路(低次群)合成一个高次群。它是由定时、码速调整(或变换)和复接等单元组成的。定时单元给设备提供统一的基准时钟(它备有内部时钟,也可以由外部时钟推动)。码速调整(同步复接时是码速变换)单元的作用是把各输入支路的数字信号的速率进行必要的调整(或变换),使它们获得同步。这里需要指出的是四个支路分别有各自的码速调整(或变换)单元,即四个支路分别进行码速调整(或变换)。复接单元将几个低次群合成高次群。 数字分接器的功能是把高次群分解成原来的低次群,它是由定时、同步、分接

新闻学概论知识点总结

绪论: 1. 世界各国新闻学主导性理论 1)自由主义报刊理论 2)社会责任论 3)发展新闻学 4)党报理论 2. 1918年,蔡元培创立中国第一个新闻学研究团体:北京大学新闻学研究会。 3. 1919年,徐宝璜出版中国人写的第一本完整的新闻学著作《新闻学》。 4. 1978年建立的中国社会科学院新闻研究所是我国第一个专门的新闻学研究机构。 第二章 一、新闻起源 1、唯心主义的“生理本能”和“心理欲求”说 代表人物: 美国新闻学者卡斯柏约斯特 代表作品:《新闻学原理》 主要观点:人类对于事物的好奇心和兴趣,是新闻欲的源泉。 2、唯物主义的“社会生产实践说” 主要观点:人类的新闻传播活动在原始社会就已开始,人类通过传播新闻信息协调行动、趋利避害、更好地生存和发展。 参考作品:恩格斯《劳动从猿到人转变过程中的作用》,阐明好奇心源自于人类劳动过程 二、新闻传播发展过程(十个阶段) (一)、原始人的手势语交流:原始人智力低下,语言的形成有一个漫长的过程,手势语成为最初的表达手段。 (二)、口语新闻媒介:当有声语言完善到可以交流的程度,出现了原始新闻的传播,语言和说话器官构成口语新闻媒介。以上两方面都可称为新闻传播的人身载体。 (三)、实物媒介:以某些物体作为媒介,构成原始社会的实物新闻媒介。 (四)、声光媒介:原始社会的声光媒介,多指用鼓声,烽火和烟雾传播信息。需要事先对信号的含义进行约定,并在视听能力所及的限度内使用。这种传播的共时性和有限距离,制约了传播范围。 (五)、图示媒介:图示媒介用线条把事物的分布写下来,构成信息画面,是象形思维的产物。以上三个方面的传播媒介可通称为体外传播载体。 (六)、手抄媒体:标志人类第一次传播革命开始,传媒发展出现了第一次历史性跨跃。成果:1)文字 2)手抄新闻:如中国殷墟甲骨文;盛行于原始社会末期到奴隶社会和封建社会初中期; 3)手抄报纸:如唐代《邸报》;公元前59年,罗马议事厅《每日纪闻》;1550年至1566年间的意大利《威尼斯公报》是最有名的手抄报纸。 (七)、印刷媒体:一种高效复制的媒介,开拓了辽阔的信息空间,标志着第二次新闻传播革命的出现。第一份以报纸形式出现的新闻传单,是1529年维也纳政府因土耳其的侵入而刊印的,其内容是向整个基督教世界要求援助这个被围困的城市。 1605年,英国第一位报人:奈森尼乐巴特出版了《约克郡谋杀案》新闻专刊; 1814年,《泰晤士报》和《晚邮报》采用了蒸汽印刷机,宣布了印刷新闻时代的到来。(八)、电子新闻媒介:电信号及其发射、接收装置传播信息,称作电子媒介。广播与电视成为新闻传播的第三、第四次革命。 1920年11月2日,世界上第一个正式的广播电台:威斯汀豪斯公司的KDKA电台正式播音;1925年10月,苏格兰的约翰贝尔德制造出世界上第一台电视机; 1936年,世界上最早的电视台:BBC电视台在英国伦敦建立; 同年11月20日,世界上最早的电视节目英国伦敦奥林匹克展览厅内诞生,著名的女歌星艾德尔迪克森演唱了一首名叫《电视》的歌。 (九)、互联网传播媒介:20世纪70年代兴起,又称“第四媒体”,互联网标志着人类历史上第五次传播革命的开始。

数字通信网部分作业答案2015副本汇编

《数字通信网卷》试题类型 一、选择题(共15小题,每题2分,共30 分) 1、停—等协议的主要缺点是( ) (A )通信不可靠 (B )信道利用率不高 (C )不能进行全双工通信 (D )需要大容量的存储器 二、判断题(共10小题,每题1 分,共10分) 1、在IP V6的寻址方案中,地址的长度为12字节。( ) 三、填空题(共20小题,每空1 分,共20分) 1、在C/S 结构中,客户机和服务器之间仅仅需要传递 和服务结果,实现了客户机和服务器的合理分工和协调操作。 四、简答题(共4小题,每题5 分,共20分) 1、假设数据位11011,多项式为13++X X ,请计算CRC 校验码。 五、分析题(共2小题,每题10分,共20分) 1、假如互联网信息中心为A 公司分配了一个C 类网段161.163.228.0,公司有四个部门:市场部、综合部、运行维护部和开发部,最大的部门有终端数为24个,最小的部门有12个终端,网络划分为四个子网。请规划出每个部门子网的网络号、主机范围、子网掩码、子网广播地址、缺省网关地址。(10分) 第一章 概述 1-01 计算机网络向用户可以提供那些服务? 答: 连通性和共享 1-12 因特网的两大组成部分(边缘部分与核心部分)的特点是什么?它们的工作方式各有 什么特点? 答:边缘部分:由各主机构成,用户直接进行信息处理和信息共享;低速连入核心网。 核心部分:由各路由器连网,负责为边缘部分提供高速远程分组交换。 1-17 收发两端之间的传输距离为1000km ,信号在媒体上的传播速率为2×108m/s 。试计 算以下两种情况的发送时延和传播时延: (1) 数据长度为107bit,数据发送速率为100kb/s 。 (2) 数据长度为103bit,数据发送速率为1Gb/s 。 从上面的计算中可以得到什么样的结论? 解:(1)发送时延:ts=107/105=100s 传播时延tp=106/(2×108)=0.005s (2)发送时延ts =103/109=1μs

新闻学概论习题集(1)

绪论 一、选择题 1、西方新闻学的研究最早产生于(). A美国B英国C德国D意大利 2、当今我国新闻事业的性质是() A国有性质,统一调配 B私有性质,企业管理 C事业性质,企业管理 D事业性质,宏观调控 3、()年,美国密苏里大学成立了新闻学院 A 1908 B1909 C1918 D1919 4、1996年1月,我国第一家报业集团()成立 A南方报业集团 B光明日报报业集团 C人民日报报业集团 D《广州日报》报业集团 5、新闻学的中心议题是() A社会生活的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的反作用。 B客观社会的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的作用。 C社会生活的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的作用。 D客观社会的诸条件对人类新闻活动的决定、支配作用以及新闻活动对社会的反作用。 6、国人自撰的第一本新闻学著作() A《新闻学》,徐宝璜著B《中国报学史》,戈公振著 C《新闻学》,王韬著D《实际应用新闻学》,邵飘萍著 7、中国第一篇新闻学专文是()

A《论新闻学》B《新闻纸略论》 C《论报刊》 D 《报刊史学论》 8、中国共产党新闻史上的第一次改革在() A1840年代B1930年代 C1940年代D1950年代 9、世界第一个现代新闻学院在()成立 A密苏里大学B伯明翰大学C哥伦比亚大学D斯坦福大学10、我国第一个新闻学术研究团体是() A北京大学新闻学研究会B清华大学新闻学研究会 C上海圣约翰大学研究会D复旦大学研究会 二、填空题 1、新闻学包括_____________、_____________和_____________三部分内容。 2、中国第一本采访学专著是_____________著的_____________。 3、中国第一个新闻教育机构是_____________成立的_____________。 4、世界上最早的印刷周报在_____________年的_____________国出现。 5、徐宝璜的_____________、邵飘萍的_____________和戈公振的_____________可以视为中国新闻学的三部奠基著作。 6、新闻界的四大公害是:虚假新闻、_____________、_____________和不良广告。 三、判断正误 1、1940年代的新闻改革是由中共中央机关报《解放日报》发表《致读者》社论发起()。 2、1978年以来的新闻改革,我国新闻界重新确认新闻价值是新闻选择的标准之一()。 3、狭义的新闻学专指新闻理论或理论新闻学()。 4、新闻学是以我国新闻事业作为自己的研究对象()。 5、新闻学研究的重点是新闻事业和人类社会的关系,探索新闻事业的产生、发展的特殊规律和新闻工作的基本要求()。

数字通信原理第一次阶段作业4

《数字通信原理》第一次阶段作业 一、判断题(共10道小题,共50.0分) 1 严格地说解码器输出的是PAM信号。 1正确 1错误 知识 点: 平时作业1 学生答案: [B;] 标准答 案: B; 得分: [5] 试题分 值: 5.0 提示: 2 ±127△的样值,各自对应的码字完全相同。 1正确 1错误 知识 点: 平时作业1 学生答案: [B;] 标准答 案: B; 得分: [5] 试题分 值: 5.0 提示: 3 某位码的判定值与先行码的状态(是“0”还是“1”)有关。 1正确 1错误 知识 点: 平时作业1 学生答案: [A;] 标准答 案: A; 得分: [5] 试题分 值: 5.0 提示: 4 A律13折线编码器编码位数越大越好。 1正确 1错误 知识 点: 平时作业1

学生答案: [B;] 标准答 案: B; 得分: [5] 试题分 值: 5.0 提示: 5 A律13折线的量化信噪比高于A律压缩特性的量化信噪比。 1正确 1错误 知识 点: 平时作业1 学生答案: [B;] 标准答 案: B; 得分: [5] 试题分 值: 5.0 提示: 6 带通型信号的抽样频率若取fs≥2f M会产生折叠噪声。 1正确 1错误 知识 点: 平时作业1 学生答案: [B;] 标准答 案: B; 得分: [5] 试题分 值: 5.0 提示: 7 PCM通信系统中的D/A变换是A/D变换的反过程。 1正确 1错误 知识 点: 平时作业1 学生答案: [A;] 标准答 案: A; 得分: [5] 试题分 值: 5.0 提示: 8 参量编码的特点是编码速率低,语声质量高于波形编码。 1正确 1错误 知识 点: 平时作业1

新闻学概论课程大纲

【课程性质】 新闻学是以人类社会客观存在的新闻传播现象为研究对象,研究的重点是新闻传媒和人类社会的互动关系,考察新闻传媒的产生、发展的特殊规律和新闻运作的基本规则。新闻学的中心议题是:客观社会的诸条件对人类新闻传播活动的决定、支配作用,以及新闻活动对社会的反作用。 新闻学按内容分为:理论、历史、应用三部分。《新闻学概论》属于新闻理论,阐释新闻学的基本概念与基本理论。本课程为新闻学专业基础课,授课对象为本科生,是新闻史、新闻业务等课程的前置课程。 《新闻学概论》属新闻学基础理论课程,指导学习者深刻认识新闻传播活动特别是新闻传媒整体运作的规律和效果,为研究新闻史、掌握新闻业务技 能提供帮助。 【教学目的】 《新闻学概论》属新闻学基础理论课程,指导学习者认识新闻传播活动特别是新闻传媒整体运作的规律和效果,为研究新闻史、掌握新闻业务技能提供帮助。通过本课讲授,要达到三个基本目的:第一,了解新闻学和新闻理论的基础知识,理解并掌握一些重要概念;第二,使学生养成较好的理论概括和思辨能力,以及理论探索的兴趣;第三,能够初步运用所学的理论观察、分析新闻现象和具体案例。 【教学内容】 主要内容包括:新闻学核心概念以及与新闻密切相关的概念;新闻传媒产生的原因、条件、后果;新闻传媒发展的基本规律;新闻传媒的性质、功能与效果;新闻传媒与社会各领域互动关系;新闻自由与社会控制;新闻传媒的运行体制和管理模式;新闻传媒的受众特征、受众权利、受众定位;新闻选择的标准、流程;新闻从业者的专业理念及职业道德;世界主导新闻学理论。 【教学要求】

对教师要求: 认真准备教学内容,指定教科书和阅读材料;精心准备每一堂课的内容,重点突出,逻辑清晰,具体目标明确;鼓励并组织课堂讨论,回答同学的疑问;在课外提供同学咨询和答疑的时间;树立良好的课堂秩序,成绩评定公正。 对学生要求:新闻学不能关起门来学,而是要关注现实。学生必须阅读每天新闻,关心重大报道和新闻实践现象;在每周上课前学生要完成该周的阅读,不得无故延误每周的阅读;按时上课和参与讨论,不得无故迟到和缺席;如有特殊情况不得不缺席或延误,必须事先或及时通知授课人,并在一周内(极个别的特殊情况下可征得授课人同意放宽期限)自行补上所缺课程内容,并通过授课老师的检查;积极、主动地参与课堂讨论,提出问题,分享见解;按时完成所有的作业和考试。 选修本课程的同学,每周除了两课时的课堂教学外,还需至少花两个小时准备与本课相关的事情,比如阅读书籍、报纸,收看新闻,准备作业等,如果在时间上无法保证的同学,建议不要选这门课。 【考核和成绩】 本课最后总成绩为100份,由作业、研究报告大纲、课堂讨论和课外阅读表现、期末考试四部分组成,各自所占比例如下: 作业(4×5):20% 研究报告大纲:20% 课堂和课外表现:10% 期末考试:50% 本课程需交四次作业。作业可能是当堂完成,也有可能要求课外完成。凡是课外完成的作业,要求是打印稿,并注明学号、姓名以及页码; 与课者可自由组合,以4-5人为一组,在本学期对新闻实践中的某一问题、现象或者个案做一研究报告大纲,研究报告大纲必须包括:所研究的对象、要解决的问题、试图运用的理论、具体观点、最后结论,参考文献。研究报告大纲的题目可以由学生提出并和授课教师讨论而定; 本课期末需要考试,形式为开卷; 在作业、研究大纲中若发现作弊、抄袭或者学术不端行为,该次作业将以零分

吉大16春学期数字通信技术在线作业二

吉大16春学期《数字通信技术》在线作业二 一、单选题(共 10 道试题,共 40 分。) 1. 律13折线非均匀量化特性,最大量化间隔为最小量化间隔的()。. 64倍 . 32倍 . 10倍 . 8倍 正确答案: 2. PM30/32系统中发送帧同步码的时隙是()。 . 奇帧的TS16时隙 . 奇帧的TS0时隙 . 偶帧的TS16时隙 . 偶帧的TS0时隙 正确答案: 3. PM系统解码后的误码信噪比与()。 . 传输码速率成正比 . 传输码速率成反比 . 误码率成正比 . 误码率成反比 正确答案: 4. SH采用的复用方法为()。 . 异步复用 . 同步复用 . 同步复用或异步复用 . 按位复用 正确答案: 5. PH中欧洲和中国的PM三次群的话路数为()。 . 672路 . 670路 . 480路 . 120路 正确答案: 6. TU—12装进V—4的过程属于()。 . 映射 . 定位 . 复用 . 映射和复用 正确答案: 7. 律13折线编码器编码位数越大()。 . 量化误差越小,信道利用率越低 . 量化误差越大,信道利用率越低 . 量化误差越小,信道利用率越高 . 量化误差越大,信道利用率越高 正确答案:

8. 下列编码方法中不属于波形编码的是()。 . PM . PM . 子带编码 . PM 正确答案: 9. PM30/32系统中帧同步码的长度是()。 . 4it . 6it . 7it . 8it 正确答案: 10. 律13折线压缩特性中的第7段线的斜率是()。 . 0.5 . 1 . 4 . 16 正确答案: 吉大16春学期《数字通信技术》在线作业二 二、多选题(共 5 道试题,共 20 分。) 1. 异步复接二次群接收端分接后,各支路第161位码不会是()。. 信息码 . 码速调整用的插入码 . 奇偶校验码 . 帧同步码 正确答案: 2. 数字调幅包括()。 . 双边带调制 . 单边带调制 . 残余边带调制 . 数字调相 正确答案: 3. 线路保护倒换可以采用( ) 。 . 1+1方式 . 1∶1方式 . 1∶n方式 . 1+2方式 正确答案: 4. 具有检测误码能力的传输码型是( )。 . MI码 . H3码 . MI码 . 8421码 正确答案:

复旦大学新闻与传播硕士考研具体课程

复旦大学新闻与传播硕士考研具体课程 生活若剥去理想、梦想、幻想,那生命便只是一堆空架子。凯程复旦大学新闻与传播硕士老师给大家详细讲解。凯程就是王牌的新闻与传播硕士考研机构! 一、复旦大学新闻与传播硕士专业与学费介绍 复旦大学新闻与传播硕士学费总额为7万元。学制两年。 新闻与传播硕士专业致力于培养具有扎实理论基础,并适应特定行业或职业实际工作需要的应用型高层次专门人才。其方向为: 01 新闻与传播 02 新闻与传播(财经新闻) 03 新闻与传播(新媒体传播) 04 新闻与传播(全球媒介与传播国际双学位项目) 考试科目具体是: ①101思想政治理论 ②202俄语或203日语或204英语二 ③334 新闻与传播专业综合能力 ④440 新闻与传播专业基础 二、复旦大学新闻与传播硕士就业怎么样? 复旦大学新闻与传播硕士专业就业实践多,学生动手能力强,且复旦大学在新闻与传播领域的名气非常大,校友众多,就业不是问题。 据复旦大学就业办公室的老师介绍,复旦大学每年的就业率接近100%,毕业生整体需求还是比较旺盛的,大部分的专业供需比在1:4以上,每年学生大部分进入全国各地的省市地区的广播、电视、报刊、网络、电影等传媒机构和相关行业。社会对复旦大学的办学水平和人才培养质量也给予很高的评价。 三、复旦大学新闻与传播硕士考研难度大不大,跨专业的人考上的多不多? 2015年,复旦大学新闻与传播硕士计划招收60人。总体来说,复旦大学新闻与传播硕士招生量大,考试难度不高,每年都大量二本三本学生考取的,据凯程从复旦大学内部统计数据得知,复旦大学新闻与传播硕士的考生中95%是跨专业考生,在录取的学生中,基本都是跨专业考的。 在考研复试的时候,老师更看重跨专业学生自身的能力,而不是本科背景。其次,新闻与传播硕士考试科目里,新闻与传播专业综合能力和专业基础本身知识点难度并不大,跨专业的学生完全能够学得懂。即使本科学新闻的同学,专业课也不见得比你强多少(大学学的内容本身就非常浅)。在凯程辅导班里很多这样三凯程生,都考的不错,而且每年还有很多二本院校的成功录取的学员,主要是看你努力与否。所以记住重要的不是你之前学得如何,而是从决定考研起就要抓紧时间完成自己的计划,下定决心,就全身心投入,要相信付出总会有回报。 四、复旦大学新闻与传播硕士考研辅导班有哪些? 对于新闻与传播硕士考研辅导班,业内最有名气的就是凯程。很多辅导班说自己辅导复旦大学新闻与传播硕士,您直接问一句,复旦大学新闻与传播硕士参考书有哪些,大多数机构瞬间就傻眼了,或者推脱说我们有专门的专业课老师给学生推荐参考书,为什么当场答不上来,因为他们根本就没有辅导过复旦大学新闻与传播硕士考研,更谈不上有新闻与传播硕士的考研辅导资料,考上复旦大学新闻与传播硕士的学生了。在业内,凯程的新闻与传播硕

数字通信原理阶段作业

第一次阶段作业 一、判断题(共10道小题,共50.0分) 1.严格地说解码器输出的是PAM信号。 A.正确 B.错误 2.±127△的样值,各自对应的码字完全相同。 A.正确 B.错误 3.某位码的判定值与先行码的状态(是“0”还是“1”)有关。 A.正确 B.错误 4.A律13折线编码器编码位数越大越好。 A.正确 B.错误 5.A律13折线的量化信噪比高于A律压缩特性的量化信噪比。

A.正确 B.错误 6.带通型信号的抽样频率若取fs≥2f M会产生折叠噪声。 A.正确 B.错误 7.PCM通信系统中的D/A变换是A/D变换的反过程。 A.正确 B.错误 8.参量编码的特点是编码速率低,语声质量高于波形编码。 A.正确 B.错误 9.时分多路复用的方法不能用于模拟通信。 A.正确 B.错误

10.模拟信号的幅度和时间均连续。 A.正确 B.错误 二、单项选择题(共10道小题,共50.0分) 1.l=8的A律13折线编码器中,当段落码为011时,它的起始电平为 A.0 B.16△ C.32△ D.64△ 2.样值为301△,它属于A律13折线的(l=8) A.第4量化段 B.第5量化段 C.第6量化段 D.第7量化段 3.A律13折线编码器编出的码字是 A.线性码 B.非线性码 C.线性码或非线性码 D.以上都不是

4.A律13折线第5段的量化信噪比改善量为 A.6dB B.8dB C.12dB D.18dB 5.PCM通信系统实现非均匀量化的方法目前一般采用 A.模拟压扩法 B.直接非均匀编解码法 C.自适应法 D.非自适应法 6.解决均匀量化小信号的量化信噪比低的最好方法是 A.增加量化级数 B.增大信号功率 C.采用非均匀量化 D.以上都不是 https://www.sodocs.net/doc/ce1833499.html,ITT规定话音信号的抽样频率选为 A. 6.8kHz B.>6.8kHz

新闻学概论-教学大纲

《新闻学概论》教学大纲 课程编号:062232A 课程类型:□通识教育必修课□通识教育选修课 □专业必修课□专业选修课 √□学科基础课 总学时:32 讲课学时:32 实验(上机)学时:0 学分:2 适用对象:传播学专业本科生 先修课程:无 一、教学目标 《新闻学概论》是传播学专业学生的学科基础课,本课程通过聚焦新闻事业的基本现象,传授新闻学的基本规律,引导学生用理论来解释、解决现实问题,培养学生在新闻传播领域的专业意识和职业敏感,帮助学生获得基本的媒介传播素养,为其接下来学习中外新闻史、新闻采访、全媒体新闻写作、新闻编辑学、媒介经营管理、传媒法等课程奠定专业基础。 目标1:掌握新闻学的基本理论,包括新闻、新闻价值、新闻客观性等概念和内涵; 目标2:对大众传播媒介职能、新闻与社会之间的关系有较全面的认知; 目标3:掌握新闻职业道德和规范。 二、教学内容及其与毕业要求的对应关系 教学内容讲授上的要求:从新闻的基本概念入手,逐步引导学生理解新闻事业的基本原则、规律,把握新闻与社会之间的关系,通过案例教学带动课堂讲授。

对拟实现的教学目标所采取的教学方法、教学手段:对话式教学、案例式教 学; 对实践教学环节的要求:通过布置课堂播报新闻、期末设置常识题等方式,让学生养成课下关注新闻媒体的习惯,注重过程考核。 对课后作业以及学生自学的要求: (1)要求学生关注指定的媒体,如中央电视台、中央人民广播电台、《南方 周末》、《中国青年报》、《新京报》、《南方人物周刊》、澎湃新闻客户端等。 (2)阅读指定书目 (3)课堂提供阅读相关材料,引导学生用所学的理论知识解释新闻现象,养成自主性学习的习惯。 该课程从哪些方面促进了毕业要求的实现:培养学生的自主学习能力、查阅资料能力、批判性思维。 三、各教学环节学时分配 以表格方式表现各章节的学时分配,表格如下: 教学课时分配 四、教学内容

数字通信作业

数字通信实验报告 研究Ricean和Nakagami随机变量分布特性的仿真分析 姓名: 学号: 专业:

目录 1 RICEAN随机变量分布特性的简单研究 (1) 1.1简介R ICE随机变量的数学模型 (1) 1.1.1 Ricean随机变量的概率密度函数(PDF)表示方法 (1) 1.1.2 Ricean随机变量的累计分布函数(CDF)表示方法 (1) 1.1.3 Ricean随机变量中PDF与CDF之间的关系 (2) 1.2.matlab编程仿真 (2) 1.2.1程序代码 (2) 1.2.2仿真图像 (3) 1.3.结论分析 (4) 2.NAKAGAMI随机变量分布特性的简单研究 (4) 2.1.简介Nakagami随机变量的数学模型 (4) 2.1.1 Nakagami随机变量的概率密度函数(PDF)表示方法 (4) 2.2matlab编程仿真 (5) 2.2.1 程序代码 (5) 2.2.2仿真图像 (6) 2.3.结论分析 (6)

1 Ricean 随机变量分布特性的简单研究 1.1简介Rice 随机变量的数学模型 1.1.1 Ricean 随机变量的概率密度函数(PDF )表示方法 如果X 1和X 2是两个独立的高斯随机变量,分别服从N (m1,σ2)和N (m2,σ2)分布(即方差相等,均值不同),那么 X = (1-1) 是赖斯随机变量,其PDF 为 222022(),0()0, x s x sx I e x p x +-σ??>=?σσ?? 其他 (1-2) 式中,s =2个自由度的非中心 随机变量。可以看出,当s=0是赖斯随机变量退化为瑞利随机变量。当s 较大时,赖斯随机变量近似为高斯随机变量。 1.1.2 Ricean 随机变量的累计分布函数(CDF )表示方法 赖斯随机变量的CDF 为 1,, 0()0, s x Q x F x ???->? ?=σσ????? 其他 (1-3) 赖斯随机变量的前两个矩为 (1-4) (1-5) 式中,K 是赖斯因子。如果定义 ,赖斯PDF 为 []2121,1,2 2S E X F ??=-- ?σ??2222E X S ??=σ+??22 2A s =+σ2χ

相关主题