搜档网
当前位置:搜档网 › 十字路口交通灯课程设计实验报告讲解

十字路口交通灯课程设计实验报告讲解

十字路口交通灯课程设计实验报告讲解
十字路口交通灯课程设计实验报告讲解

E D A课程设计报告

课程EDA课程设计

题目十字路口交通灯控制

系别物理与电子工程学院

年级专业

班级

学号

学生姓名

指导教师张惠国

设计时间2014.12.21~2014.12.26

目录

前言 (3)

第一章VHDL系统概述 (4)

第二章十字路口交通灯系统设计 (6)

2.1 题目 (6)

2.2要求 (6)

第三章方案设计与论证 (6)

3.1 方案设计 (6)

3.2 方案论证 (7)

第四章详细设计 (10)

4.1 结构框图 (10)

4.2实验程序 (10)

第五章实验结果 (17)

第六章总结与体会 (19)

第七章参考文献 (19)

前言

自从1858年英国人发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥挤,交通灯更加显示出了它的功能,使得交通得到有效管制,对于交通疏导,提高道路导通能力,减少交通事故起到了显著的效果。

近年来,随着汽车数量的猛增,我国大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重,其主要表现如下:交通事故频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益加深等。日常的交通堵塞成为人们司空见惯而又不得不忍受的问题。在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。

和谐的城市交通具有很重要的现实意义。城市交通是城市经济生活的命脉,是衡量一个城市文明进步的标志,对于城市经济的发展和人民生活水平的提高起着十分重要的作用。作为城市交通网的重要组成部分, 交叉口是道路通行能力的瓶颈和交通阻塞及事故的多发地。城市的交通拥堵,大部分是由于交叉口的通行能力不足或没有充分利用造成的,这导致车流中断、事故增多、延误严重。对交叉口实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。所以,改变和完善我国现有的交通系统已成为当务之急。

目前国内己有一些自主开发的城市交通控制系统,如公安部交通科学研究所研制开发的HT-UTCS系统,但它在整体性能上比国外同类系统仍有较大差距,只在一些中小城市得到一些应用。国内城市尤其是大城市引进的交通控制系统大部分为进口的SCOOT和SCATS以及京三系统等,这些系统皆是建立在机动车为主的道路交通条件基础之上的被动型控制系统上的.由于我国交通流是混合交通流,和国外的交通流大不相同,因此,国外的这些交通控制系统在国内的使用效果不尽人意。所以国内的科研人员也在孜孜不倦的研究着更好的交通灯控制系统,大致研究可以分为两类,其一是按固定配时方案运行,其二是由车辆检测器提供的实时交通信息控制信号机运行的。如,基于时间分布的交通控制系统设计,它将一天分为N个不同的时间区间,应用计算机算出各个交通路口各时间段信号灯的最佳周期,在不同的时间区间赋予交通信号控制器不同的周期,以对应交通流量随时间的分布。但在现实应用中,这种固定周期的交通信号灯的周期只在一天交通流量变化不大的路口可以得到较理想的效果,而交通流

量呈周期变化的路口则无法两者兼顾,其只能使某个时段达到较好的效果,在另外一个时刻则需要人工干预,不然可能产生交通堵塞。再如基于模糊逻辑的智能交通灯控制系统,是从禁行车辆等待时间的角度出发,综合了各个方向车辆密度的因素,模仿交通带察的行为而设计的。其控制器采用三变量输入,输出不再是时间变量,而是车辆通行的权限[3]。

第一章VHDL系统概述

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

硬件描述语言的主要优点:

VHDL 是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为 3 种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用 VHDL 来完成。VHDL在电子设计中具有以下优点:

(1)全方位硬件描述—从系统到电路。VHDL具有功能强大的语言结构,可以用简洁明确的代码描述来进行复杂控制逻辑的设计,而且覆盖面广,方法灵活。

(2)多种描述方式适应层次化设计。VHDL具有多层次描述系统硬件功能的能力。能进行系统级的硬件描述这是它最突出的优点。

(3)VHDL语言的数据类型丰富语法严格清晰,串行和并行通用,物理过程清楚。

(4)VHDL的设计不依赖于特定的器件,方便了工艺的转换。

(5)VHDL是一个标准语言,它的设计描述可以被不同的EDA工具所支持,可移植性强,易于共享和复用。

同时,与其他的硬件描述语言相比,VHDL还具有以下特点:

(1) VHDL具有更强的行为描述能力。强大的行为描述能力避开了具体的器件结构,是在逻辑行为上描述和设计大规模电子系统的重要保证。VHDL 的宽范围描述能力使它成为高层次设计的核心,从而决定了它成为系统设计领域最佳的硬件描述语言,并可进行系统的早期仿真以保证设计的正确性。

(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统功能的可行性,随时可对设计进行仿真模拟。

(3) VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。

(4)对于用 VHDL 完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把 VHDL 描述设计转变成门级网表。

(5) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

(6)用 VHDL 语言编写的源程序便于文档管理,用源代码描述来进行复杂控制逻辑的设计,既灵活方便,又便于设计结果的交流、保存和重用。

电子系统利用VHDL 设计时,设计方法有系统行为级描述算法,寄存器传输级算法和结构级描述;VHDL源代码是作为EDA综合工具的输入代码,因此有效的VHDL建模风格是控制综合结果的最为有效的手段。要建立VHDL源代码,设计者必须了解 VHDL与综合结果的关系。综合算法不同,对于同样的硬件描述,可能会得到不同的综合结果。即使最后综合出的电路都能实现相同的逻辑功能,其电路的复杂程度和时延特性都会有很大的差别,甚至某些额外的电路还使得系统运行效率达不到要求。为此,下面列举出了利用VHDL 进行程序设计时的一些重要的、典型的优化方法。

描述方法的合理选用

用 VHDL进行设计,其最终综合出的电路的复杂程度除取决于设计要求实现的功能的难度外,还受设计工程师对电路的描述方法的影响。最常见的使电路复杂化的原因之一是设计中存在许多本不必要的类似 LATCH的结构。而且由于这些结构通常都由大量的触发器组成,不仅使电路更复杂,工作速度降低,而且由于时序配合的原因可能导致不好的结果。

第二章十字路口交通灯系统设计

2.1 题目

十字路口交通灯控制

2.2要求

1、显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。

2、用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

3、用两组数码管作为东西和南北方向的倒计时显示。

第三章方案设计与论证

3.1 方案设计

在交通信号灯的设计中,系统功能设计要求主干道和支干道各设有一个绿、黄、红指示灯。如图所示:

图4-1路口交通管理示意图

主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行。主干道每次放行34s,支干道每次放行24s。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯的时间为4s。能实现正常的倒计时显示功能。能实现总体清理功能,计数器由初始状态开始计

数,对应状态的指示灯亮。交通灯的四种状态如下:

四种状态灯色

状态1 状态2 状态3 状态4 东西南北东西南北东西南北东西南北

绿灯(时间/s)0 30 0 0 35 0 0 0

红灯(时间/s)30 0 5 0 0 35 0 5

黄灯(时间/s)0 0 5 0 0 0 0 5

图4-2交通信号灯的4种状态

绿灯与黄灯倒计时显示在同一个数码管显示中,二者相加正好与红灯显示的数码管时间相同有四种状态,状态1主干道绿灯亮30秒,支干道红灯亮30;状态2主干道黄灯亮5秒,支干道红灯亮5秒;状态3主干道红灯亮35秒,支干道绿灯亮35秒;状态4主干道红灯亮5秒,支干道黄灯亮5秒。

外部硬件电路方面主要包括:两组红绿灯、两组LED显示器。软件方面包括:(1)电路合成模块的概念:将交通灯信号系统划分成若干个小电路,编写每一个模块的VHDL程序代码,并将各个小电路相连接。这样可以增加程序的调试速度,同时也能够将工作细分,以提高编程速度。

3.2 方案论证

由交通信号灯系统结构图如下,该系统由4个子电路组成。其中包括:

(1)时钟发生电路;

(2)计数秒数选择电路;

(3)倒计时控制电路;

(4)红绿灯信号控制电路。

图4-3交通信号灯系统结构图

(1)第一模块:clk时钟秒脉冲发生电路

在红绿灯交通信号系统中,大多数的情况是通过自动控制的方式指挥交通的。因此,为了避免意外事件的发生,电路必须给出一个稳定的时钟(clock)才能让系统正常的工作。因此,hld1时钟发生电路最主要的功能就是产生一些稳定的输出信号,并将其用做后面几个电路的使能控制与同步信号。

模块说明:

系统输入信号:

clk:由外部信号发生器提供1kHZ的时钟信号;

rst:系统内部自复位信号。

系统输出信号:

clock_1buffer:输出为1HZ的频率

clock_2buffer:扫描输出信号频率

(2)第二模块:计数秒数选择电路

计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。

模块说明:

系统输入信号:

clk: 接收由clk电路的提供的1hz的时钟脉冲信号;

系统输出信号:

light:产生显示电路状态转换信号

data0:倒计数值秒数个位变化控制信号

data1:倒计数值秒数十位变化控制信号

data2:次倒计数值秒数个位变化控制信号;

data3:次倒计数值秒数十位变化控制信号;

(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。

模块说明:

系统输入信号:

clk: 接收由clk电路的提供的1hz的时钟脉冲信号;

states: 接收计数秒数选择电路状态转换信号;

系统输出信号:

light:负责红绿灯的状态显示。

(4)第四模块:时间显示电路

本电路负责红绿灯的计数时间的显示。

模块说明:

系统输入信号:

data0:倒计数值秒数十位变化控制信号;

data1:倒计数值秒数个位变化控制信号;

data2:次倒计数值秒数十位变化控制信号;

data3:次倒计数值秒数个位变化控制信号;

系统输出信号:

led7S0:负责主红绿灯的显示秒数十位。

led7S1:负责主红绿灯的显示秒数个位。

led7S2:负责支干红绿灯的显示秒数十位。

led7S3:负责支干红绿灯的显示秒数个位。

我们本次的程序共由3个进程组成,第一个进程为frequent:process(clk_in)----分频程序,将clk信号分频后产生1秒信号;第二个进程为time:process(rst,clk)-----

记时状态,构成两个带有预置数功能的十进制计数器;第三个进程为process(clk2)--------动态扫描显示数码管,产生次态信号和信号灯输出信号,以及每一个状态的时间值。最终验证了十字路口交通灯的系统设计。

第四章详细设计

4.1 结构框图

图5-1交通信号灯控制器程序原理框图

4.2实验程序

library ieee;-----库说明

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jiaotongdeng is-----实体名称

port( clk_in:in std_logic;-----端口定义:时钟信号

rst:in std_logic;--复位信号

weima:out std_logic_vector(7 downto 0);----weima显示

light:out std_logic_vector(5 downto 0);----指示灯显示

LED7S:out STD_LOGIC_vector(6 downto 0));-----段码显示

end jiaotongdeng;

architecture arc of jiaotongdeng is-----结构体

type states is(green_red,yellow_red,red_green,red_yellow);-----定义了一个新的类型

signal state:states;

signal nx_state:states:=green_red;-----定义下一状态

signal data0:integer range 0 to 3;----支干道十位初始值范围

signal data1:integer range 0 to 9;----支干道个位初始值范围

signal data2:integer range 0 to 3;----主干道十位初始值范围

signal data3:integer range 0 to 9;----主干道个位初始值范围signal clock_1buffer:std_logic;

signal count_1time:integer range 0 to 39999999;----时钟技术范围signal clk:std_logic;--1m时钟

signal clock_2buffer:std_logic;

signal count_2time:integer range 0 to 200;----时钟技术范围signal clk2:std_logic;--动态显示输出时钟

signal sec0:integer range 0 to 3;----支干道十位显示值范围

signal sec1:integer range 0 to 9;----支干道个位显示值范围

signal sec2:integer range 0 to 3;----主干道十位显示值范围

signal sec3:integer range 0 to 9;----主干道个位显示值范围

signal LED7S0:STD_LOGIC_VECTOR(6 downto 0);-----支干道十位数字段码储存signal LED7S1:STD_LOGIC_VECTOR(6 downto 0);-----支干道个位数字段码储存signal LED7S2:STD_LOGIC_VECTOR(6 downto 0);----- 主干道十位数字段码储存signal LED7S3:STD_LOGIC_VECTOR(6 downto 0);---- 主干道个位数字段码储存begin

frequent:process(clk_in)----分频程序

begin

if (clk_in'event and clk_in='1' )then

count_1time<=count_1time+1;

count_2time<=count_2time+1;

if( count_1time=39999999)then----4MHZ翻转一次,1s定时

clock_1buffer<=not clock_1buffer;

count_1time<=0;

end if;

if(count_2time=100)then

clock_2buffer<=not clock_2buffer;

count_2time<=0;

end if;

clk<=clock_1buffer;-----输出为1HZ的频率

clk2<=clock_2buffer;-----扫描输出信号频率

end if;

end process;

time:process(rst,clk)-----记时状态

begin

if(rst='0') then --复位定义初始值

sec0<=3;

sec1<=9;

sec2<=3;

sec3<=4;

state<=green_red; ----数码管的初始值,初始状态

elsif (rising_edge(clk))then--------1m时钟频率转换

if(((sec0=0 )and(sec1=0) )or ((sec2=0 )and(sec3=0)))then ----若支干道十位为0,个位为0或者主干道十位为0,个位为0就跳转到下一个状态

state<=nx_state;----数码管置下一状态的初始值?

sec2<=data2;

sec3<=data3;

sec0<=data0;

sec1<=data1;

else

sec1<=sec1-1;

sec3<=sec3-1;----主干道个位减一

if((sec2/=0)and(sec3=0))then----主干道十位不为0,个位为0则十位减一,个位附为9

sec2<=sec2-1;

sec3<=9;

end if;

if ((sec0/=0)and(sec1=0))then----支干道十位不为0,个位为0则十位减一,个位附为9

sec0<=sec0-1;

sec1<=9;

end if;

end if;

end if;

case state is

when green_red=>light<="001100";--主干道绿灯亮,支干道红灯亮(状态一)nx_state<=yellow_red;

data2<=0;--下一状态的初始值设置

data3<=4;

data0<=0;

data1<=4;

when yellow_red=>light<="010100"; --主干道黄灯亮,支干道红灯亮(状态二)nx_state<=red_green;

data2<=2;

data3<=9;

data0<=2;

data1<=4;

when red_green=>light<="100001"; --主干道红灯亮,支干道绿灯亮(状态三)nx_state<=red_yellow;

data2<=0;

data3<=4;

data0<=0;

data1<=4;

when red_yellow=>light<="100010"; --主干道红灯亮,支干道黄灯亮(状态四)nx_state<=green_red;

data2<=3;

data3<=4;

data0<=3;

data1<=9;

end case;

----支干道十位计数值七段数码管译码

case sec0 is

WHEN 0 => LED7S0 <=not "1000000" ;---0

WHEN 1 => LED7S0 <=not "1111001" ;---1

WHEN 2 => LED7S0 <=not "0100100" ;---2

WHEN 3 => LED7S0 <=not "0110000" ;---3

WHEN others=>LED7S0<=not "1111111";---其余状态为不显示

END case;

---- 支干道个位计数值七段数码管译码

case sec1 is

WHEN 0 => LED7S1 <=not "1000000" ;---0

WHEN 1 => LED7S1 <=not "1111001" ;---1

WHEN 2 => LED7S1 <=not "0100100" ;---2

WHEN 3 => LED7S1 <=not "0110000" ;---3

WHEN 4 => LED7S1 <=not "0011001" ;---4

WHEN 5 => LED7S1 <=not "0010010" ;---5

WHEN 6 => LED7S1 <=not "0000010" ;---6

WHEN 7 => LED7S1 <=not "1111000" ;---7

WHEN 8 => LED7S1 <=not "0000000" ;---8

WHEN 9 => LED7S1 <=not "0010000" ;---9

END case;

----主干道十位计数值七段数码管译码

case sec2 is

WHEN 0 => LED7S2 <=not "1000000" ;---0

WHEN 1 => LED7S2 <=not "1111001" ;---1

WHEN 2 => LED7S2 <=not "0100100" ;---2

WHEN 3 => LED7S2 <=not "0110000" ;---3

WHEN others=>LED7S2<=not"1111111";---其余状态不显示END case;

----主干道个位计数值七段数码管译码

case sec3 is

WHEN 0 => LED7S3 <=not "1000000" ;---0

WHEN 1 => LED7S3 <=not "1111001" ;---1

WHEN 2 => LED7S3 <=not "0100100" ;---2

WHEN 3 => LED7S3 <=not "0110000" ;---3

WHEN 4 => LED7S3 <=not "0011001" ;---4

WHEN 5 => LED7S3 <=not "0010010" ;---5

WHEN 6 => LED7S3 <=not "0000010" ;---6

WHEN 7 => LED7S3 <=not "1111000" ;---7

WHEN 8 => LED7S3 <=not "0000000" ;---8

WHEN 9 => LED7S3 <=not "0010000" ;---9

END case;

END process;

process(clk2)--------动态扫描显示数码管

variable c: integer range 0 to 3 ; --动态扫描

variable wm:STD_LOGIC_VECTOR(7 downto 0); --动态扫描位码储存

variable fc: integer range 0 to 2 ; --动态扫描频率设计

variable LED7:STD_LOGIC_VECTOR(6 downto 0);-----数码管七段段码储存 begin

if(clk2'event and clk2='1') then

fc:=fc+1;

if(fc=2) then

fc:=0;

c:=c+1;

if(c=4) then

c:=0;

end if;

end if;

end if;

case c is

when 0=> wm:="00100000";LED7:=LED7S0;

when 1=> wm:="00010000";LED7:=LED7S1;

when 2=> wm:="00001000";LED7:=LED7S2;

when 3=> wm:="00000100";LED7:=LED7S3;

when others=>NULL;

end case;

weima<=wm;-----位码输出

LED7S<=LED7;---段码输出

END process;

end arc;

第五章实验结果

东西方向红灯倒计时还剩下5s 南北方向黄灯开始倒计时5s

南北方向红灯倒计时40s

东西方向绿灯开始倒计时35s

东西方向黄灯倒计时5s

东西方向红灯倒计时35s

第六章总结与体会

这次的EDA课程设计,可以说是苦多于甜,但是不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。在程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,计时开始,但是始终看不到红黄绿灯的变化。后来,在几次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:Endtime的值需要设置的长一点:1000us左右,这样就可以观察到完整的仿真结果。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。最后,对给过我帮助的同学和老师再次表示忠心的感谢!

数字化时代的到来给人们的生活水平带来了极大的改变,我们有理由相信,随着数字化的深入,交通灯控制器的功能将日趋完善。而且,VHDL语言对EDA技术产生的影响也是深远的,它缩短了电子产品的设计周期,为设计者提供了方便。在今后的电子产品研究开发过程中,EDA技术将会具有更好的开发手段和更高的性价比,并且将拥有更为广阔的市场应用前景。

第七章参考文献

[1]综合电子设计与实践,王振红,清华大学出版社,2008年9月第2版;

[2]EDA实用技术及应用,刘艳萍,国防工业出版社,2006年第1版;

[3]EDA技术实用教程.-3版,潘松,黄继业,北京:科学出版社,2006

[4]CPLD/FPGA常用模块与综合系统设计实例精讲,罗苑棠,电子工业出版社,2007。[5]VHDL程序设计,曾繁泰,陈美金,清华大学出版社,2000;

[6]FPGA设计及应用,褚振勇,翁木云,西安:西安电子科技大学出版社,2002

PLC交通灯课程设计

目录 第一章摘要............................................................................ - 2 - 第二章简述PLC ......................................................................... - 3 - 2.1 PLC简介.............................................................................. - 3 - 2.2 PLC工作原理 ...................................................................... - 4 - 2.3 PLC主要功能 ...................................................................... - 6 - 第三章PLC的交通信号灯系统设计.......................................... - 7 - 3.2 流程图如下:.................................................................... - 8 - 3.3程序梯形图设计: ............................................................. - 9 - 第四章总结.............................................................................. - 12 - 4.1程序调试........................................................................... - 12 - 4.2 收获和体会...................................................................... - 12 -

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

基于单片机交通灯课程设计报告书

三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED 显示等等组成交通灯演示系统。系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 引言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。 一、方案比较、设计与论证

(1) 电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2) 显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3) 输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM已经够用,故选择方案二。 (4) 系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统.

单片机交通灯课程设计报告(含电路图,源程序)

1.引言................................................................. 错误!未定义书签。 2.总体设计方案 (2) 2.1. 设计思路 (2) 2.1.1.设计目的 (2) 2.1.2.设计任务和内容 (3) 2.1.3.方案比较、设计与论证 (3) 2.1.4.芯片简介 (6) 2.2. 设计方框图 (12) 3.设计原理分析 (13) 3.1. 交通灯显示时序的理论分析与计算 (13) 3.2. 交通灯显示时间的理论分析与计算 (15) 3.3. 电路模块 (16) 3.3.1.LED数码管显示模块 (16) 3.3.2.LED红绿灯显示模块 (19) 3.3.3.复位电路 (22) 3.3.4.晶振电路 (23) 4.结束语 (23)

6.附录 (24) 6.1. 附录1:程序清单 (24) 6.2. 附录2:电路设计总图 (32) 6.3附录3:实物图 ....................................... 错误!未定义书签。1.总体设计方案 1.1.设计思路 1.1.1.设计目的 (1)加强对单片机和汇编语言的认识,充分掌握和理解设计各部分的工作原理、设计过程、选择芯片器件、模块化编程等多项知 识。 (2)用单片机模拟实现具体应用,使个人设计能够真正使用。(3)把理论知识与实践相结合,充分发挥个人能力,并在实践中锻炼。 (4)提高利用已学知识分析和解决问题的能力。 (5)提高实践动手能力。

1.1. 2.设计任务和内容 1.1. 2.1.设计任务 单片机采用用AT89S52芯片,使用发光二极管(红,黄,绿)代表各个路口的交通灯,用8段数码管对转换时间进行倒时(东西路口15秒,南北路口25秒,黄灯时间5秒)。 1.1. 2.2.设计内容 (1)设计并绘制硬件电路图 (2)制作PCB并焊接好元器件 (3)编写程序并将调试好的程序固化到单片机中 1.1.3.方案比较、设计与论证 1.1.3.1.电源提供方案 为使模块稳定工作,须有可靠电源,采用单片机控制模块提供电源。此方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。综上所述,我们选择第二种方案。 1.1.3. 2.复位方案 复位方式有两种:按键复位与软件复位。由考虑到程序的简洁,避免冗长,本设计采用按键复位,在芯片的复位端口外接复位电路,通过按键对单片机输入一个高电平脉冲,达到复位的目的。

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流和努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道和支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3.1 总原理 (2) 3.2 控制电路 (3) 3.3 时钟产生电路 (3) 3.4 显示电路 (4) 3.5 器件 (5) 3.5.1可预置的十进制同步计数器74LS160 (5) 3.5.2 3 线-8 线译码器74LS138 (5) 3.5.3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3.5.4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4.1 通电前检查 (7) 4.2 通电检查 (7) 4.2.1 555电路模块的检查 (7) 4.2.2 CD4511的检查 (7) 4.2.3 74LS192的检查 (8) 4.2.4 控制电路及相关门电路的检查 (8) 4.2.5 发光二极管的检查 (9) 4.3 结果分析 (9) 5 设计总结 (10) 5.1 体会 (10) 5.2 设计电路的特点和方案的优缺点 (11) 5.3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ............................................................................ 错误!未定义书签。

微机原理-交通灯课程设计报告

微型计算机原理及应用课程设计说明书 交通灯控制系统设计 班级:1401班 姓名: 学号: 指导教师: 日期:2016年6月

一.课程设计目的: 在车辆日渐增多的今天,人们也越来越关注交通问题,而交通灯在安全行车过程中无疑起着十分重要的作用。现在交通灯一般都设在十字路口,用红、绿、黄三种颜色的指示灯和一个倒计时的显示计时器来控制行车, 对一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1、车道轮流放行时间相对固定, 不能根据实际情况中两个车道的车辆多少来设置改变通行时间;2、没有考虑紧急车辆通过时, 两车道应采取的措施。譬如, 有消防车通过执行紧急任务时, 两个车道的车都应停止, 让紧急车辆通过。因此如何合理高效地利用交通灯指示交通情况,是一个亟需解决的问题。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义 二.课程设计内容: 设有一个十字路口,1、3为南北方向,2、4为东西方向。初始为四个路口的红灯全亮,之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯开始闪烁,闪烁若干次以后,1、3 路口黄灯亮,后1、3路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而绿灯开始闪烁,闪烁若干次以后,1、3路口红灯亮,而2、4路口黄灯亮,再切换到1、3路口方向,之后重复上述过程。 三.问题分析及硬件介绍: 本次课程设计的内容为利用8086、8255等接口,实现控制十二个二极管亮灭的过程。需要PC机一台,8255并口:用做接口芯片。LED:共12个LED灯。还有8086芯片,8253a定时器等器材. 将8086和74273、74154和8255连接起来.需用到8255的六个输出端口。所以要求8255工作在方式0,因为二极管是共阳的,所以输出低电平二极管才会亮。8086用作cpu,三个74273是锁存器,锁存地址。 用软件proteus7.8画出电路图,加载程序到8086cpu,进行模拟。 系统硬件设计

交通灯课程设计讲解

设计题目:基于单片机的模拟交通灯控制院系:电气工程系 专业:城轨电子1班 年级: 2012级 姓名:凌洁 指导教师:黎松奇 西南交通大学峨眉校区 年月日

课程设计任务书 专业城轨电子本姓名凌洁学号 20128085 开题日期:2014年 11 月 17 日完成日期: 2014年12月17日题目基于单片机的模拟交通灯控制 一、设计的目的 本次设计的智能交通灯系统采用Proteus设计与仿真,程序的编译与调试采用Keil C51来实现。单片机原理、模拟和数字电路等方面的知识,基于Protues软件设计出一台交通信号灯,模拟路口交通信号。 二、设计的内容及要求 要求用51单片机设计一个智能交通灯控制系统,使其能模仿城市十字路口交通灯的功能,并对满足特殊的控制要求。该系统的具体功能要求如下: 该控制系统能控制东西南北四个路口的红黄绿灯正常工作。东西和南北方向分时准行和禁行。 1)交通信号灯能够控制东西、南北两个方向的交通,红绿黄灯用对应颜色的发光二极管代替; 2)用四个2位数码管分别显示东、南、西、北方向的通行时间,东西或南北通行时间为25秒,红绿灯切换中间黄灯亮5秒。 三、指导教师评语 四、成绩 指导教师 (签章) 年月日

目录 一、引言 (4) 二、设计方案与思路简述 (4) 1、内容简述: (4) 2、设计思路 (5) 三、单元电路设计 (7) 1.数码显示管与LED灯模块 (7) 2、 C51引脚图 (7) 3、时钟电路 (8) 4 、复位电路 (8) 四、总体设计 (9) 1. AT89C51芯片简介 (9) 2、原理框图: (12) 3、详细设计 (13) 4、软件框图 (20) 四、程序及注释 (21) 1、解释状态 (21) 2、附录清单 (22) 附录1:程序清单 (22) 五、调试运行 (27) 六、涉及资料及参考文献 (28) 七、心得体会 (28)

交通灯控制器课程设计报告

. 中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器

时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下:

四、课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压围:4.5V

微机原理课程设计交通灯

一、课程设计题目 交通灯控制 二、课程设计目的 ●综合运用《微机原理与应用》课程知识,利用集成电路设计实 现一些中小规模电子电路或者完成一定功能的程序,以复习巩 固课堂所学的理论知识,提高程序设计能力及实现系统、绘制 系统电路图的能力,为实际应用奠定一定的基础。 ●掌握8255A方式0的使用与编程方法 ●PC机及配套的接口电路实验装置 ●IC芯片:8255A应用和8253 三、课程设计容 ●采用8255A设计交通灯控制的接口方案 ●采用8253设计延时电路 ●插接电路 ●编写控制程序 四、课程设计过程 1、设计原理 ●8255 8255是Intel公司生产的可编程并行I/O接口芯片,有3 个8位并行I/O口。具有3个通道3种工作方式的可编程 并行接口芯片(40引脚)。其部与引脚图如图所示:

8255有三个端口A、B、C端口,3种不同的工作方式,在其控制字的作用下使某一个端口工作于某一种工作状态下。 8253 intel8253是NMOS工艺制成的可编程计数器/定时器,其部有三个计数器,分别成为计数器0、计数器1和计数器2,他们的机构完全相同,如图所示:

每个计数器的输入和输出都决定于设置在控制寄存器中的控制字,互相之间工作完全独立,采用减1计数方式。控制字如图所示: 在门控信号有效时,每输入1个计数脉冲,通道作1次计数操作。当计数脉冲是已知周期的时钟信号时,计数就成为定时。各通道可有6种可供选择的工作方式,以完成定时、计数或脉冲发生器等多种功能。在这里我们主要采用方式0:计数结

束产生中断 (由低电平变为高电平)。其波形图如图所示: a. 写CW后:OUT=0,直到计数到0 b. 写N后:下1个CLK脉冲下降沿开始计数 c. 计数过程中,可重写N,重写N后,同b. d. GATE的作用:GATE=1计数、=0暂停计数 e. 计数到0:OUT=1,直到再写CW或N 2、方案设计 考虑普通十字路口,交通灯的控制可分东西向和南北向两 组,每组可用红、黄、绿三个灯进行交通管理,所以本方 案要点是至少对六个交通灯进行控制。由于灯光控制只需 要开、关两个状态,所以可以采用开关量实施控制。开关

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

电子课程设计 交通灯

模拟电子技术课程设计 学院: 电气与信息工程学院 专业班级: 学生1姓名: 学生1学号: 学生2姓名: 学生2学号: 指导教师: 完成时间: 成绩: 存在得问题1: (9) 存在得问题2: (9) 存在得问题3: (9) 五.附录 (10) 表2 元器件明细表 (10) 附图2 (12) 实物图 (13) 六.参考文献 (13)

简易交通灯控制逻辑电路设计报告 一、设计要求 (1)东西方向绿灯亮,南北方向红灯亮,时间15s。 (2)东西方向与南北方向黄灯亮,时间5s。 (3) 南北方向绿灯亮,东西方向红灯亮,时间l0s。 (4) 如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、设计得作用、目得 设计一个交通灯控制器,由一条主干道(东西道)与一条支干道(南北道)汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中得车辆有时间停在禁行线外。 主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒得黄灯时间作为过度,让行驶中得车辆停在禁行线以外。 通过对交通灯得设计,加深对555定时器构建电路得理解,掌握基本电路在实际生活中得应用。 三.设计得基本实现 1、系统概述 因为信号灯得工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6得扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。 系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应得LED灯发光。控制器根据定时器得信号,进行状态间得转换,使显示器得显示发生相应转变。 2、单元电路得设计与分析

智能交通灯系统课程设计报告

江苏师范大学物电学院课程设计报告 课程名称:单片机课程实训 题目:智能交通灯系统 专业班级: 学生姓名: 学生学号: 日期: 指导教师:

说明: 1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指 导并确认签字。 2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并 填写成绩评定表。 3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律 按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。 4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设 计的情况另行规定。 5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不 及格处理。 6、课程设计完成后,由指导教师根据完成情况写出总结。 7、此表格式为江苏师范大学物理与电子工程学院提供的基本格式,指导教 师可根据本门课程设计的特点及内容做适当的调整。

一、课程设计目的、任务和内容要求: 通过该课程设计使学生进一步了解和加深智能化仪器设计的一般原则;熟练掌握智能化仪器与装置的软、硬件设计方法;掌握仪器的软件调试及软硬件联合统调方法与技能。掌握仪器的接口技术和程控方法;熟练掌握仪表总线的工作原理、设计步骤、编程及调试;掌握C设计软件的编程与调试方法;掌握网络化仪器设计编程与调试方法。 本课程设计的任务就是设计一个智能交通灯系统。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.熟悉QG8芯片与ISD语音模块的工作原理; 2.写出智能交通灯系统的设计方案; 3.用硬件加以实现; 4.写课程设计报告。 设计要求: 1.数码管显示倒计时,且时间可调; 2.红绿灯亮时间与数码管一致; 3.红灯亮时对应语音模块提示; 4.绿灯亮时对应语音模块提示。

交通灯课程设计说明书

华北水利水电学院 院系:机械学院 专业:机械设计制造及其自动化 班级: 59班 学号: 200905918 姓名:杨亚坤 指导老师:雷冀南 2012年5月11日

目录 目录 (2) 2、设计要求 (3) 二、交通管理的方案论证 (3) 三、总体方案设计 (4) 1.系统框图 (4) 2.工作原理 (4) 2.1、正常模式 (4) 3.计时控制方案 (6) 4.显示控制方案 (6) 四、芯片的选择与简介 (6) 3. 80C51单片机的外接晶体引脚 (7) 4. 80C51单片机的控制线 (7) 5. 80C51单片机复位方式 (7) 五系统电路设计 (8) 1.电路原理图 (8) 3.单片机最小系统 (9) 4.显示部分 (10) 6.主程序模块 (10) 7.显示程序模块 (11) 七、结论 (11) 八、参考文献 (12) 附录2:电路原理图 (18) 一、课程设计任务书及计划书

1 、设计目的及任务 《单片机应用基础》课程设计是学好本门课程的又一重要实践性教学环节,课程设计的目的就是配合本课程的教学和平时实验,以达到巩固消化课程的内容,进一步加强综合应用能力及单片机应用系统开发和设计能力的训练,是以培养学生综合运用所学知识的过程,是知识转化为能力和能力转化为工程素质的重要阶段。 课程设计任务:根据给定的模拟交通灯控制任务要求选择合适的单片机和其他电子元器件,进行系统硬件电路设计和软件编程,根据系统制作并调试系统电路板,使之实现任务要求。 2、设计要求 (1)运用所学的知识设计一个交通灯控制系统。 (2)上电的时候南北方向的红灯亮30秒;东西方向的绿灯亮30秒,黄灯秒闪5秒。再接下来东西方向的红灯亮30秒;南北方向的绿灯亮30秒,黄灯秒闪5秒。如此循环。 (3)各种信号灯所亮的时间由数码管显示出来。 (4)外接4个控制按钮,分别实现紧急模式、夜间模式、主干道绿灯支道红灯模式、管理模式。 二、交通管理的方案论证 东西、南北两干道交于一个十字路口,各干道有一组红、黄、绿三色的指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换,且黄灯燃亮时间为东西、南北两干道的公共停车时间。设南北道比东西道的车流量大,指示灯燃亮的方案如表2。 表2说明:

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

基于单片机交通灯课程设计

重庆三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED 显示等等组成交通灯演示系统。系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 引言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。

一、方案比较、设计与论证 (1) 电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2) 显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3) 输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM已经够用,故选择方案二。 (4) 系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统.

相关主题