搜档网
当前位置:搜档网 › 【SoCVista】Candence NC-Verilog simulator tutorial

【SoCVista】Candence NC-Verilog simulator tutorial

【SoCVista】Candence NC-Verilog simulator tutorial
【SoCVista】Candence NC-Verilog simulator tutorial

Candence NC-Verilog simulator tutorial

第一章介绍

这个手册将向你介绍使用NC-Verilog simulator和SimVision。

本文使用的是一个用Veilog硬件编程语言编写的一个饮料分配机,通过这个例子你将学会:

·编译Verilog源文件,描述设计,在NC-Launch(用于管理你的大型设计的图形交互接口)上进行设计的仿真。NCLaunch帮助你配置和启动编译器,描述器和仿真器。当然你还可以在NCLaunch上运行像SDF compiler,HDL Analysis and Lint,Code Coverage Analyzer, NCBrowse, and Comparescan.之类的工具。

·在SimVision分析环境下进行对设计中的问题的调试。

SimVision是一个candence 仿真器统一的图形化的调试环境。

SimVision可以用于调试用verilog,vhdl,SystemC或者它们混合写成的数字,仿真,或数模混合电路的设计。

你可以在以下几种模式运行SimVision:

·Simulate模式

在Simulate模式下你可以实时的看到仿真的数据。也就是说,你可以在仿真仿真的过程中就进行数据的分析。你可以通过对设计设置断点和分步来达到控制仿真的。

为了帮助你追踪整个仿真过程,SimVision提供了几个工具:

·控制台窗口 Console Window

·源浏览器 Source Browser

·设计浏览器 Design Browser

·循环阅读器 Cycle Viewer

·原理图追踪 Schematic Tracer

·信号流浏览器 Signal Flow Browser

·波形窗口 Waveform Window

·寄存器窗口 Register Window

这些窗口之间是连接了的,所以如果你在一个窗口中选中了一个对象,那么在其它窗口中也会被选中。

·Post-processing environment (PPE)模式

在PPE模式下,对仿真结果数据的分析是在仿真过程结束了后进行的。

除了仿真器之外,你能访问所有的SimVision的工具。就像Simulator模式中一样,这里所有的窗口也是连接了的,你在一个窗口中选择了的目标也会在其它窗口中选中。要在PPE模式下运行,你必须先对设计进行仿真,然后把仿真的资料存到一个文件中,你可以随时从simulation模式切换到PPE模式,但是不能在PPE模式切换到simulation模式中去。

本指南将向你介绍SimVision的主要几个工具:

控制台Console window:

Console window允许你输入tcl仿真的命令和SimVision 的命令。

Design Browser:

Design Browser让你进入设计的各层,以及信号和变

量的数据库。

Register window:

Register window让你可以使用一个开放式的图形编辑

器来定义一些记录簿,每个都包含了一个自定义的仿真数据

的观点。

Signal Flow Browser:

Signal Flow Browser让你追踪信号的变化。

Source Browser:

Source Browser让你可以看到设计的源代码。

Waveform window:

Waveform window把仿真的资料在X-Y坐标系里面描绘

出来。数据通常被显示为值和时间的关系,但是它也可以是

已经记录下了的数据。

饮料机的例子

饮料机由以下几个模块组成:

Drink-machine:用于计算用户给了的钱,对饮料进行分发,并且给用户找钱。

Coin-counter:用硬币和机器相连,并且确定机器什么时候没有找头了。

Can-counter:用饮料和机器相连,并且确定机器什么时候没有饮料了。

这个例子同样有一个测试模块,它用于初始化饮料机并且用nickel(五美分),dime(十美分),quarter(二十五美分)的不同组合对机器进行投币来买饮料。对于接受硬币和分发饮料的行为被建模成一个状态机。用户已经投入的硬币总量决定了当前的状态。接下来用户投入的硬币的类型决定了下一个状态。举例来说,当没有钱投入的时候,机器处于空闲状态。当用户投入一个nickel的时候,机器进入下一个状态——five。当当前状态是five的时候用户又投入了一个quarter,机器就进入了下一个状态——thirty。当用户投入的钱到了五十美分的时候,机器就分发一罐饮料,同时进入空闲状态。当用户投入的钱超过了五十美分的时候,机器分发一罐饮料,找回零钱,然后进入空闲状态。

表 1—1 饮料机的状态表

当前状态变化值下一状态

idle 4`d0 nickel_in

dime_in

quarter_in five 4`d1

ten 4`d2

twenty_five 4`d5

five 4`d1 nickel_in

dime_in

quarter_in ten 4`d2 fifteen 4`d3 thirty 4`d6

ten 4`d2 nickel_in

dime_in

quarter_in fifteen 4`d3 twenty 4`d4 thirty_five 4`d7

fifteen 4`d3 nickel_in

dime_in

quarter_in twenty 4`d4 twenty_five 4`d5 forty 4`d8

twenty 4`d4 nickel_in

dime_in

quarter_in twenty_five 4`d5 thirty 4`d6

forty_five 4`d9

twenty_five 4`d5 nickel_in

dime_in

quarter_in thirty 4`d6 thirty_five 4`d7 fifty 4`d10

thirty 4`d6 nickel_in

dime_in

quarter_in thirty_five 4`d7 forty 4`d8

nickel_out 4`d11

thirty_five 4`d7 nickel_in

dime_in

quarter_in forty 4`d8 forty_five 4`d9 dime_out 4`d12

forty 4`d8 nickel_in

dime_in

quarter_in forty_five 4`d9

fifty 4`d10

nickel_dime_out 4`d14

forty_five 4`d9 nickel_in

dime_in

quarter_in fifty 4`d10

nickel_out 4`d11 two_dime_out 4`d14

fifty 4`d10 idle nickel_out 4`d11 idle dime_out 4`d12 idle nickel_dime_out 4`d13 idle two_dime_out 4`d14 idle

更多的信息

SimVision还提供了其它的没有在这个指南里面用到的工具。工具/Feature 介绍

原理描述器显示了用Verilog或者Vhdl编写的设

计的原理图,可以让你描述在设计中的信号。

在SimVision的用户指南里面看12章,看一个设

计的示意图。

Simulation Cycle Debugger Simulation Cycle Debugger让你在一个仿真过程

中,在各个时间点,Delta Cycle,仿真阶段,或者是

时间进行过程中停止。它对于Verilog-XL或者AMS是

不可用的

看SimVision 用户指南的11章:在Delta Cycle

层面上进行调试

第二章开始

在你仿真你的设计以前,你必须编译和描述它。编译过程将把源文件中的用HDL编写的单元编译成内在的描述。描述设计将在设计的实例化,结构化信息的基础上建立设计的层次结构,建立信号的连接,计算所有对象的初始值。你编译,描述和仿真你的设计要用到以下的工具:

Ncvlog:编译Verilog源文件。

ncelab 描述设计并且生成仿真的snapshot。

ncsim 对snapshot进行仿真仿真。

当然你也可以利用ncverilog的命令以一步实现(single-step)的模式来运行NC-Verilog。你也可以使用单一的工具,NCLaunch——一个可以帮助你建立大型的设计工程的用户图形接口平台。NCLaunch帮助你配置和启动仿真的工具。你可以在多步实现(multi-step)或者单步实现(single-step)的模式下运行这些工具。它也可以让你打开 Comparescan, SDF Compiler, HDL Analysis 和 Lint, Code Coverage Analyzer, NCBrowse, 以及其它仿真仿真的工具。这个指南将向你展示怎么在多步实现(multi-step)的模式下使用NCLaunch。

拷贝指南的数据

本例的所有源文件包含在Candence的安装包中。源文件在下面的这个地址:install_dir/doc/ncvlogtut/examples

建立一个新的檔夹(比图说:tutorial), 然后拷贝指南的文件到这个檔夹。例:

mkdir tutorial

cd tutorial

cp install_dir/doc/ncvlogtut/examples/* .

启动NCLaunch

1. 在你拷贝了指南的源文件的那个檔夹下启动NCLaunch:

nclaunch -new &

-new选项指名你想要在一个新的设计(design)下面进行接下来的工作。

NCLaunch将出现一个你能运行这个工具的模式的列表,如图2.1。

图2.1NCLaunch运行模式选择

多步模式(Multiple Step)使用ncvlog和ncelab命令来编译和描述你的

设计;单步模式(Single Step)使用ncverilog命令。

2. 选择Multiple Step.

NCLaunch显示的主窗口如图2.2 :

图2.2 NCLaunch主窗口

左边的窗口显示了当前目录下的所有的文件,在你编译和描述了设计以后,右边窗口就会显示设计的库。上面是菜单和一些用于启动工具的按键。

编译(compiling)源文件

在你仿真仿真你的设计以前,你必须用编译器编译源文件,并且用描述器(elaborator)把设计描述成snapshot的形式。Snapshot是仿真器将调用的你的设计的表述,它和其它由compiler和elaborator产生的中间目标一起保存在库中。

NCLaunch的主窗口让你可以连接你编译和描述设计所需要的工具,当然还有其它的程序。你利用Tools和Utilities菜单,或者你也可以利用工具栏里面的工具按钮,你可以打开工具和其它程序。不是所有的工具和程序都放在工具栏里面,但是你可以通过自己定义工具栏来确定把自己喜欢的工具和程序放在工具栏里面。

表2-1例出了在工具栏里面的工具。

表 2-1 NCLaunch工具栏的按钮

按钮工具

VHDL 编译器(compiler)

Verilog 编译器(compiler)

描述器(Elaboretor)

仿真/仿真器(Simulator)

NC浏览(NCBrowse)

波形察看窗口(Waveform Window)

1.编译你的设计:

选中在设计时建立的Verilog文件:

can_counter.v

coin_counter.v

drink_machine.v

drink_machine_top.v

test_drink.v.

要选择多个檔,需要按住Ctrl键并选中每个檔。

2.按下编译器按钮:

在窗口底部的输入输出区域会显示由于你的选择而输入的命令和运行后的结果,同时它也显示了NC-Verilog在编译过程中的消息。

默认的,NC-Verilog将产生一个叫INCA_libs的目录以及一个叫worklib 的目录。所有设计中的模块都将编译在worklib目录下面。注意INCA_libs现在就出现在了NCLauch左边的浏览器中,同时设计的库worklib也加入了库浏览器(右边窗口)中。

描述(elaborate)你的设计

要描述(elabrate)你的设计,典型的你要展开你的库(worklib),选择顶

层(top-level)的单元,然后选择描述(elabrate)按钮。

尽管如此,为了做一个指南,这里在你描述以前你必须设置一些选项。按照以下几步进行选项的选择然后描述你的设计:

1.通过电击帽子图示旁边的加号展开工作库(worklib)

2.展开顶层设计单元,在这个例子中,顶层设计单元是一个Verilog的测试模块:test_drink.

3.选择module

4.选择Tools- Elaborator以打开Elaborate模式,如图2.3

注意这里的Access Visibility按钮选中并且它的值是All,这个选项意味着全部存取(读,写,连接探测)来仿真目标,这样你就可以在仿真的数据库里面探测目标和范围,调试你的设计。

注意:在使用NCLaunch时,默认是可以调用仿真目标的。但是用命令行接口的时候,进入默认是关闭了的,你必须要输入-access命令来启动elaborator,例:

ncelab -access +rwc worklib.test_drink:module

5.在这个饮料机中只有一个模块包含了时间表的编译指示。因为其它模块都没有定义时间表,为了防止elaborator报错,这里启动Other Option选项然后再文本框输入-timescale 1ns/1ns,如图2.3:

6. 按下OK以开始描述(elaborate)设计。

底部的输入输出窗口显示了ncelab命令,它就是由于你的选择而输入的命令,然后还显示了the elaborator generates的消息。

提示如果你收到了elaborator error的消息你可能是由于在运行这几步的时候出错了。例如:

1.你是不是选择了正确的设计单元名称?

2.是否记得设置-timescale的选项

当你收到了错误的消息以后重新进行这些步骤。

启动Simulator

为了启动simulator:

1.展开Snapshots文件夹,以显示在你的库中你可以选择的snapshot。

2.选中你想要仿真的snapshot,如图2.4

图2.4 选中要仿真的snapshot

3.按下仿真按钮

设计浏览器(Design Browser)和控制窗口(Console window)就会出现。你可以在设计浏览器(Design Browser)中进入设计的各个层,你也可以在控制窗口(Console Window)输入命令来进入SimVision和

Tcl Simulator。

图2.5展示了设计浏览器(Design Browser)打开时的情况。

Simvision把仿真定位在了各层的上面,同时给它定义了名字叫做Simulator。设计的各层的最高层就放置在了仿真的下面。在这个例子中

它就叫做test_drink。

图2.5 Design Browser

在启动的时候,控制窗口(Console Window)有两个窗口,如图2.6。SimVison 窗口让你可以输入SimVison的命令;仿真器(simulator)窗口让你可以输入Tcl simulator命令。在你运行仿真的时候,控制窗口(console window)同样会在SimVision和simulation窗口中显示消息。

图2.6 Console Window

Video :视频

编译和描述设计文件。

退出NCLaunch

调用了仿真器(simulator)以后,你就可以退出NCLaunch了。

要退出NCLaunch:

·点击NCLaunch窗口使之成为当前窗口,然后选择File菜单下的Exit。

更多的信息

本章向你介绍怎么用NCLaunch让NC-Verilog运行在多步的模式下。其实还有其它的方法为你的设计仿真做准备。

工具/要素描述

NCLaunch 你可以利用NCLaunch ncverilog support一步完成编译源文件,描述设计,启动仿真器,见NCLaunch User Guide。

NC-Verilog, Multiple Step mode 你可以编译了源文件,描述了设计然后用ncvlog,ncelab和ncsim命令启动仿真器(simulator),见NC-Verilog Simulator Help 中的Multi-Step Invocation (Library-Based Mode章节。

NC-Verilog, Single Step mode 你可以用ncverilog命令完成对源文件的编译和描述。见NC-Verilog Simulator Help的Single-Step Invocation with ncverilog 章节

第三章设计仿真

SimVision让你控制和查询你的设计仿真。他可以帮助你定位和特定的仿真事件相关的程序段。如果你发现设计中有错误,你可以直接编辑源文件,重新编译和描述,而不用离开SimVision的环境。

SimVision中你可以存储个别目标或者范围的仿真数据,这可以使得仿真数据尽量的小。晚些时候,你可以在Waveform中加载仿真数据再检验仿真的结果。选择存储的仿真数据

你可以执行simulator命令来存储仿真器运行过程中的仿真数据。Simulator 命令在仿真过程中对设计进行探测,然后它把探测目标的值存入数据库。

有两种类型探测的命令:

·探测一个特殊的目标或多个目标。这些特殊目标的值存在数据库中。

·探测一个特殊的范围或多一个范围。你可以选择你想存储的信息类型,比如说,这个范围内的输入信号,你能决定探测的是探测一些还是全部的范围。

要探测所有的模表和范围,要从模块的top开始:

1. 在设计窗口中,点击test_drink 图示旁边的加号,展开设计的层次结

构。

2. 选择top范围。在右边窗口里面的信号的列表列出了top范围内的全部

信号,如图3.1。信号列表显示了所有输入信号,输出信号,输入输出信号,内部信号,或者事务级的信号。

图3.1 选择top范围

3.选择菜单Simulation--〉Create Probe

SimVision打开Probe的设置窗口,如图3.2,这个窗口允许你选择一层或者多层子范围,选择你要探测的信号类型, 然后记录探测信息到任何数据库。

图3.2 设置probe的窗口

针对这个探测:

·选择Include sub-scopes下拉菜单中的all选项以包含所有设计的子域。·选择Include within each scope下拉菜单中的all选项以包含输入,输出和端口。

·取消选中Add to waveform display。

4. 点击OK确认probe的设置然后关掉窗口。

提示

如果你在控制窗口(console window)输入以下信息你就可以成功的创建probe:

ncsim> database -open waves -into waves.shm -default

Created default SHM database waves

ncsim> probe -create test_drink.top -depth all -all -shm

Created probe 1

5. 在控制窗口(console window)中选择Simulation—〉Run。SimVision 就会仿真仿真设计,然后把仿真的结果数据存在默认的数据库里面。在它运行的时候将会显示如下信息:

ncsim> run 400loading machine with 5 cans

400 *** machine empty! ***

700 enter nickel

900 enter dime

1100 enter quarter

1300 enter dime

1500 enter quarter

1500 ->drink dispensed

-------------------------------

1800 enter nickel

2100 enter nickel

2300 enter dime

2500 enter dime

2600 *** machine empty! ***

2700 enter quarter

2700 nickel changed

3000 enter nickel

3200 enter dime

3400 enter quarter

3600 enter dime

3800 enter quarter

3900 -> drink dispensed

-------------------------------

. . .

-------------------------------

68400 enter quarter

68700 enter nickel

69000 enter nickel

69200 enter dime

69400 enter dime

Simulation complete via $finish(1) at time 69600 NS +

0 ./test_drink.v:48 $finish;

ncsim>

提示

当你完成了这些步骤,你的工作目录下面将会出现一个新的名为waves.shm 的目录,它里面包含了waves.dsn和 waves.trn两个文件。如果它们的大小分别远小于65500bytes和360bytes,那么在仿真过程中你就没有探测到了所有的

目标。

为了纠正问题,在控制窗口(console window)中选择Simulation—〉Reinvoke Simulator重新启动simulator或者退出simulator再用下面的命令重新启动simulator:

ncsim -gui worklib.test_drink:module

然后重新执行本章讲的几个步骤。

更多的信息

本章描述了设置探针(probe)和运用控制窗口(console window)来启动仿真器(simulation)。尽管如此,还有其它的方式来运行以上的步骤,如下:Tool(工具)/Feature(内容)Description(描述)

$shm_open $shm_probe $shm_close

你可以在你的Verilog源文件中调用这些系统任务。当你仿真你的设计的时候,这些系统任务打开你的数据库,设置探针到希望的目标,当你仿真结束的时候又关闭数据库。

在你的 NC-Verilog Simulator Help中参阅Displaying Waveforms with the SimVision Waveform Viewer章节以得到这些系统任务的具体细节。

database -open probe -create run

你可以从tcl命令行接口中调用simulator命令,或者你也可以把它们都打包在一个命令文件中,以批处理模式来运行。

参阅你的NC-Verilog Simulator Help 中probe,database和run的章节

Simulation database management (仿真数据管理)

为了疹错的方便你可以为不同的设计建立包含各自设计成份的不同的仿真数据库。或者你可以用其它工具打开数据库。

查阅SimVision User Guide第六章Managing Simulation Databases

Probes (探针)你可以打开,关闭探针,也可以删除探针,

或者你还可以在工具窗口中创建新的探针。当

然你还可以就在Waveform窗口中增加一个信

号的探针而不用设置探针的窗口来增加

参阅SimVision User Guide第七章

Creating and Managing Probes。Breakpoints (断点)或许你想仿真运行到某点的时候就停下来

这样方便你检查运行的状态。为了控制仿真在

哪里停下可以设置breakpoint

查阅SimVision User Guide第八章

Setting and Managing Breakpoints

Simulation control (仿真控制)

你可以运行一个仿真到breakpoint停止,然后进入一个子程序。你也可以存储仿真的状态,或者是检查点,然后在那个点重新启动仿真。

参阅SimVision User Guide第十章Controlling the Simulation

第四章以波形的形式研究仿真的资料

波形显示了信号在仿真过程中任意事件的值,它可以帮助你很好地理解你的设计的行为

波形窗口(waveform window)可以让你选择你想要看的信号以及它在显示的时候的基数,甚至你还可以自己建立一个显示的形式,叫做mnemonic map(助记符映射),这样就可以让图以你最适应的方式显示。

选择显示的信号

在设计浏览器(Design Browser), 你可以选择目标然后把它们放到波形窗口(Waveform window)中

为了要选择你想要显示的信号到波形窗口(Waveform window)中:

1. 点击Edit Buffer 按钮打开edit buffer,如图4.1。edit buffer

让你可以在不同的范围内选择目标,安排他们以你希望的顺序显示,然后把它们都列到波形窗口(Waveform window)中去

图4.1打开edit buffer

2. 在top模块中选择你想要在波形窗口(waveform window)中显示的信

号。为了取样检查,选择nickel_in, dime_in, quarter_in, dispense, nickel_out, dime_out, two_dime_out, and clk 信号。当你点击各个信号的时候,SimVision把它们加入到edit buffer中去。

3. 展开top,然后在vending模块中选择current_state 把它加入edit

buffer中去。

4. 点击Waveform按钮,让这些信号在波形窗口(waveform window)

中显示。

5. 点击隐藏 Edit Buffer按钮,使edit buffer 在设计浏览窗口收

回。

Displaying Data in the Waveform Window

在波形显示窗口(waveform window)中,信号的名字和它们的当前值都显示在了窗口的左边,它们的波形显示在了右边。如图4.2:

图4.2 有波形显示的波形显示窗口(waveform window)

提示

你可以在一个有工具条的波形显示窗口(waveform window)中进入设计浏览或者是设计搜索的窗口中去。更进一步的讲解请查阅SimVision User Guide 中的 Using the Design Browser Sidebar 和 Using the Design Search Sidebar

在波形窗口(waveform window)中,在波形数据的上方,你可以看到当前窗口中仿真的数据的启始和终止时间。在波形数据的下方的滚动条显示的是整个仿真的时间。你可以通过输入一个新的时间范围来调整当前窗口中显示的波形数据的范围

为了输入一个新的范围:

1.在Time Range 文本框中输入一个时间段. 在这个例子中,输入的是0:3000, 如图4.3:

图4.3 输入一个新的时间段

2.点击Enter键以应用新的时间段。

3.在波形数据上方的下拉菜单中选择Keep this view ,这样你就可以保存你的缩放设置。如图4.4:

任意时刻,你能通过下拉菜单选择来快速地回到显示这个缩放段

图4.4 存储一个波形资料的情景

在波形窗口(waveform window)中有两个指标,分别叫做TimeA和Baseline。你可以把它们移到仿真时间的任意点,然后以它们为参考点。

输入一个新的仿真时间:

·你可以拖动指标到你想要探测的时间点,也可以在指标时间输入文本框中输入一个仿真时间来实现目的。

例,改变TimeA的仿真时间到16,700ns,如图4.5:

图4.5 设定指针时间

控制波形数据的显示

波形窗口(waveform window)让你可以控制波形数据的显示方式,比如说你想让信号数据在什么进制下进行显示。

例,要把的current_state显示变成十进制:

1. 在波形窗口(waveform window)的目标列表中选择current_state 。

2. 在Format—〉Radix/Mnemonic菜单中选中Decimal(十进制)

当观察一个波形的时候,有时候把信号的值显示成ASCII码串很有用。比如说,当current_state的值是1的时候,用户投入了5美分;当current_state 的值是2的时候,用户投入了10美分。把current_state的波形显示成5和10比显示成1和2更容易理解。

要把信号定义信号值为ASCII串,需要定义一个mnemonic map:

1. 选择Windows—〉Tools—〉Mnemonic Maps打开Mnemonic Maps的属性

设置窗口,如图4.6:

图4.6 Mnemonic Maps的属性设置窗口

当你定义了一个mnemonic map,你不仅可以定义特殊信号的文本显示方式,而且你还可以定义值在波形窗口(waveform window)中的显示方式,包括波形的形状,联合一个值的特殊情况的图示和颜色。

2. 点击新建Map按钮,新建一个 mnemonic map.

3. 定义mnemonic map的第一个入口,如下:

a. 改变默认的进制,点击’h 不放然后选择’d.

b. 双击Values Matching…区域,输入0, 然后按Tab键,进入Relabel As…区域。

c. 在Relabel As…区域, 键入串idle然后按Tab键进入下个目标的Values Matching…

前面的区域显示了Waveform窗口会在current_state 等于0的时候怎么显示。它将显示一个里面写着idle的长方形。

4.定义下面的状态:

Values Matching Relabel As

0 idle

1 five

2 ten

3 fifteen

4 twenty

5 twenty_five

6 thirty

7 thirty_five

8 forty

9 forty_five

10 fifty

11 nickel_out

12 dime_out

13 nickel_dime_out

14 two_dime_out

5. 在名字的区域里面,改变新的map给current_state。

6. 点击Apply按钮。

现在current_state的十进制值0,1,10,等等就被显示成了idle,five,fifty,等等, 如图4.7:

图4.7 由于Mnemonic Map信息所显示的值

7. 选择—〉File—〉Close Window关闭选项窗口。

更多的信息

本章描述了一些你显示和组织信息在波形窗口(waveform window)中显示的方法。其它有些工具和内容可以对你显示波形的时候有帮助:

Tool/Feature Description

Design Search Window(搜索窗口)你可以不必关心各层的结构而在几个数据库里面搜索信号,变量和范围

参阅SimVision User Guide第五章Searching for Design Objects

Groups and expressions(组和等式)你可以把信号列到一起或者列在一个式子里面。这些动作可以让你把信号的设置看成一个信号的实体

参与SimVision User Guide第十五章Organizing Signals into Groups, Expressions, and Comparisons。

Markers(指针)你可以放置类似于指针的标记在仿真的时间轴

上面。你可以在各个标记之间切换以对比仿真在

不同时间的结果。但是你不能用标记与测量的作

用。

参阅SimVision User Guide第十六章,

Navigating through Simulation Time

Measurement window (测量窗口)你可以在一个特殊的仿真时间点上建立一个用于测量变量的特征值的平台。比如说,你可以看它们的范围,最大值,最小值。在测量相似的信号的性质的时候这个就非常有用。

参阅SimVision User Guide 第二十章Measuring Signal Values。

Preferences (参数设置)你可以指定工具栏在SimVision 窗口中的风格,

设置波形窗口(waveform window)的默认值,

为源浏览器(source browser)选择文本编辑器,

另外也可以设置SimVision 。

参阅SimVision User Guide 第二十一章

Setting Preferences

Simulation Cycle Debugger (仿真循环调试器)Simulation Cycle Debugger让你可以让你看到整个仿真过程,在任意时间点停止,delta cycle,仿真暂停,或者执行预定进程。它对Verilog-XL or AMS 的设计者来说是不可用的。. 参阅SimVision User Guide 第十一章Debugging at the Delta Cycle Level

思维导图中文教程大全

思维导图中文教程大全 导语: 或许你之前用过好几款思维导图软件,但基本都是英文版,MindMaster是一款支持中文操作的思维导图软件,你可以通过本文的介绍了解思维导图以及操作流程。 什么是思维导图? 思维导图又叫心智导图,是,它简单却又很有效,是一种革命性的思维工具。思维导图运用图文并重的技巧,把各级主题的关系用相互隶属与相关的层级图表现出来,把主题关键词与图像、颜色等建立记忆链接。 免费获取MindMaster思维导图软件:https://www.sodocs.net/doc/c25221788.html,/mindmaster/ 中文版思维导图软件 中文版思维导图软件MindMaster,其特点是拥有无限的扩展性,可以不受限制的自由组合,还可以快捷添加文字、图片、音频、超链接等等,而且修改起来也十分方便。对电脑上常用的三大系统:Windows、Mac和Linux的支持都比较好,软件除了简体中文版界面,还可以自由切换成繁体中文、英语、日语、法语、德语界面。 除了思维导图工具常用的一些功能,MindMaster还提供了丰富的思维导图模板、可以将文件一键生成PPT幻灯片页面,并导出为可以再次编辑的PPT格

式。即便你想直接在软件中进行幻灯片演示,也可以在软件所提供的多种演示模式中找到你想要的。 如何下载使用中文版思维导图软件MindMaster 1、首先打开百度浏览器,搜索“亿图MindMaster”进入亿图官网,然后找到MindMaster进行下载安装,因为是国产软件,所以下载的界面语言默认为中文版,当然你也可以自己选择英语、德语、法语、日语等等。 2、然后打开MindMaster思维导图软件,点击“新建”,这个时候可以看到有很多的思维导图模板可以使用,我们可以双击任意模板进入画布,也可以点击

适合教师的思维导图软件

想学画思维导图?别只用纸和笔,还有大量丰富多彩的思维导图软件可以使用,下面就来介绍几款常见的思维导图软件。工具在精不在多,了解众多软件之后选择最适合你的那一款熟练使用就可以了。 什么是思维导图?如下图,就是一张常见的思维导图了,它是英国人托尼?巴赞发明的一种记笔 记的方法,运用思维导图能够将左右脑并用使得我们充分发挥大脑的强悍功能。 但厉害之处是它对任意时刻发散思维的记录与整合。 “思维导图帮助我们公司节省了一千万美元!――波音公司”,事实是这并不是一个噱头。 美国波音公司在设计波音747飞机的时候就使用了思维导图。据波音公司的人讲,如果使用普

通的方法,设计波音747这样一个大型的项目要花费6年的时间。但是,通过使用思维导图,他们 的工程师只使用了6个月的时间就完成了波音747的设计,并节省了一千万美元…… 作为一款跨平台软件,MindMaster是一款非常流行有价值的国产免费的思维导图制作与设计软件,已经拥有600百万+的粉丝。 作为一个绘图工具,拥有强大的兼容性,轻松打开自己和他人的导图,支持数据的跨平台云同步。对于个人用户,MindMaster可以一直免费使用。 MindMaster软件绘制思维导图的优点 那么,在众多的思维导图制作工具中,我们为什么推荐亿图呢?下面我们用简单的图来罗列一下它的优点: MindMaster还可以制作鱼骨图、二维图、树状图、逻辑图等,本文中的图都是使用亿图制作的。 制作后可以轻松导出到微软 Office 格式 (如 Word、Excel、PPT),或者 PDF、PS、EPS 等文档,以及 PNG,JPEG,GIF,BMP,Tiff等图片格式,甚至可以导出到Html、SVG。 另外,亿图还能支持导入visio同类软件制作的导图。总之,你可以轻松将制作好的成果用于任何地方,或通过转换成各种常见的图片格式与团队、他人共享并协作。 跨平台支持 Windows、Mac、Linux MindMaster一大优点是跨平台支持 Windows、Mac 和 Linux 等桌面平台,软件自带云空间, 一款与支持云协作的工具,你的所有数据都能随时随地使用。除了在任意浏览器可以打开查阅MindMaster的脑图文件外,还可以方便地实现会议速记、课程笔记、灵感收集等用途。

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

常用的思维导图软件工具有哪些

导语: 思维导图的绘制方法有很多,我们可以根据不同年龄段使用不同的绘制方法,这样不管是从动手能力还是逻辑能力上都会有很大的进步空间,通过思维导图的绘制可以让我们队还事物的印象更加深刻难忘,下面将为大家介绍思维导图绘制的简单步骤希望可有所帮助。 思维导图模板素材:https://https://www.sodocs.net/doc/c25221788.html,/community/31/1 尽管是使用软件来绘图,但依然有许多不尽相同的画法,不同的画法有不同的绘制顺序,有的讲究高效整洁,有的讲解精细美观。对于入门级初学者而言,建议从简单的思维导图开始学起。下面以“高考专业选择分析思维导图”为例,来分步骤解析。 第一步:创建话题中心 每一个思维导图都有中心主题,它是系统概括本思维导图的核心价值,好比一篇文章的标题,其意义不言而喻。本例中,所探讨的“高考专业选择分析”,即为本思维导图的话题中心。根据其中心,我们可以延伸出许多具体的分支,这些分支正是人类大脑发散性思维的具体表现。 第二步:确立二级主题 同样是关键的一步,需要我们在大脑里反复构思。比如在做高考专业的选择分析时,可以将自己喜爱的专业大方向记录下来,以便进一步展开分析。

第三步:完善导图内容 以每一个二级主题为方向,作更为详细的分析。比如可以探讨该专业下的出路、优点以及缺点。用这样的方法绘制完成的思维导图,系统而又清晰,通过比较利弊,有益于筛选出合适的决策方案。 第四步:思维导图优化

完成基础的绘制之后,要将着重点放在导图的外观优化方面。毕竟,一幅赏心悦目的思维导图更容易带来愉悦的感受。因为每个人选用的思维导图软件不同,就会导致其效果千差万变。MindMaster则是一款更适合初学者使用的免费思维工具,内置30种样式主题,只需一键即可换装,为颜值轻松上分。 当然,一键式的样式模板,或许并不能满足你的需求,还可以利用MindMaster中的编辑功能,对字体、线条、背景做进一步的自定义修改。 第五步:保存或导出 如果已完成上面所有的步骤,就要恭喜你成功入门!看着自己的思维导图在画布中,就要想着如何将其保存或导出。在MindMaster软件,你可以导出诸如图片、Office、PDF等多种格式(详情看查看:MindMaster思维导图支持导出哪些格式?),也可以通过云分享生成网页地址用于存储和传播。 电影类思维导图模板:https://https://www.sodocs.net/doc/c25221788.html,/community/42/1

Allegro表贴类元件焊盘与封装制作

手工制作表贴类元件封装 1贴片元件焊盘制作 1.1打开 Pad Designer PCB Editor Utilities > Pad Desig ner 1.2Layers 选项勾选 Signle layer mode ( Parameters 选项不做设置) 可在Parameters>Summary 查看到Single Mode: on,制作贴片类元件焊盘必须勾选。 1.3BEGIN LAYER 顶层(焊盘实体):在Regular Pad (常规焊盘)中,选择Geometry下拉列表,确认焊盘的型状,输入焊盘的width、height。 (注意:贴片类元件焊盘Thermal Relief > Anti Pad选择Null。) 焊盘形状: Null (空)、Circle (圆形)、Square (正方形)、Oblong (椭圆形)、Rectangle (长方形)、Octagon (八边形)、Shape (形状、任意形状) 1.4PASTEMASK_TOP 钢网层、锡膏防护层:印锡膏用,为非布线层,与BEGIN LAYER的设置一致。 1.5SOLDERMASK_TOP 阻焊层:绿油开窗(就是焊盘与绿油中间位置,没铜皮也没绿油),焊盘尺寸比BEGIN LAYER大(IPC 7351标准),自已设置大2mil。 (这里的2mil是指边到边,如果是个正方形焊盘,那么soldermask的边长比焊盘的实际边长要大4mil,BGA的焊盘也不例外。) 1.6焊盘保存 File下拉菜单中,选择Save/Save as,保存焊盘(保存至symbols文件夹内),焊盘制 作完成。 2贴片元件封装制作 2.1打开 PCB Editor PCB Editor >Allegro PCB Desig n GXL 2.2新建封装符号 File> New,弹出New Drawing对话框,Drasing Name输入新建圭寸装的名称,点击Browse 选择圭寸装存放的路径,Drawing Type 选择Package symbol。 2.3设置制作封装的图纸尺寸、字体设置 图纸尺寸:Setup>Desig n Parameter Editor>Desig n ,Comma nd parameters 中Size 选择Other,Accuracy (单位精度)填4 ;将Extents 中:Left X、Lower Y (绝对坐标,中心原点,都设置为图纸大小的一半,这样就保证原点在图纸正中间位置)进行设置;图纸尺寸一般可设置为Width (600mil )、Height (600mil),这样制作为元件封装,可减小存储空间。 字体设置: 2.4设置栅格点大小Setup>Grid,Spacingx、y 方便封装制作。 2.5加载已制作好的焊盘Layout>P in 右侧Options选项 Connect (有电气属性):勾Or Text Seup Width Hraght 、」 1mil,Offsetx、y 设 0,,将栅格设置为1mil, 2l^https://www.sodocs.net/doc/c25221788.html, 3|38.0Q 4147.00 5BG.OO 占驗庐nic |25.0C |3fli0 ESOO /b.UU lf l 11 - t- n |93.0Q [riTno 131.00 ■I11 Fl 1药B ia& iio iiri i i i

用那些工具能制作思维导图

思维导图是一种将思维形象化的方法,是表达发散性思维的有效图形思维工具,善用思维导图,能极大的提升你的学习、工作效率,好的思维导图不需要太多花俏、繁杂的功能,重要的是养成思维具象化的习惯,今天就推荐给大家一款电脑上使用的免费、简单、实用的思维导图软件! 无论你需要画双向思维导图,还是单侧显示的思维导图、向下舒展的思维导图,MindMaster软 件都可以满足你。 简洁的操作界面 MindMaster具有类似Office风格的操作界面,所有功能都可以清晰地展示出来,想用的时候都 能在短时间内找到并使用。不需要再一层一层的去找,一页一页的去翻。

软件两侧,提供可以缩进的工具栏,当你不需要用到工具栏里的功能时,可以通过功能按钮将其隐藏,这样可以将你的画布更大化显示。 如果思维导图的分支结构太多,可以用【视图】中的“上钻”或者“显示分支”的功能,将其余分支折叠起来;也可以使用【视图】中的“聚焦”功能,一键快速的将重心切换到中心主题;鼠标左键拖动“中心主题”还可以自由移动整个思维导图,或者鼠标右键,快速移动整个画布。这样的操作是不是很方便呢? 创意的彩虹配色方案 MindMaster 的彩虹色中,提供了四种配色方案,单色、彩虹色、对称色、交替色,是用来调节思维导图主题和分支的颜色,让原本单调无味的脑图色彩更丰富,对比也更鲜明。

当然除了这个功能,还可以借助“主题”中的“主题颜色”设置功能,来调整颜色的搭配。这里有专业设计师们设计好的多种配色方案,你要做的,就是在这几方案中进行选择,选择适合主题内容的配色风格。 独特的手绘风格 可能有些人会觉得,软件画的思维导图虽然好看,但是不够生动,不够个性化。因此,还存在一部分人会选择手绘思维导图。

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

画思维导图要用什么工具

导语: 由于在很多方面都需要使用思维导图,所以学习一款好的思维导图软件是非常重要的,很多刚开始 了解思维导图软件的朋友不知道思维导图软件哪个好,下面就给大家来介绍一款思维导图软件。 究竟怎样才能选择好一款适合自己的思维导图软件呢? 1、软件功能是否能满足您的需求? 如果您在企业环境中使用思维导图软件,作为生产力工具,就要考虑这个软件能为您做什么, 软件是否能够满足?一般来说要测试软件是否能可以兼容Microsoft Office,能否导出Word、Excel、PPT、PDF等常用办公软件的格式,能否有效的处理项目管理及任务信息等等。 2、软件是否兼容所有操作平台? 需要看看软件运行的环境,查看是否能够兼容Windows、Mac,是否能用于Linux平台?不同企业、不同职位对电脑的需求不同,那么如何才能尽可能的满足他们的需求呢?支持跨平台使用就是不

可或缺的一个功能了。 3、软件是否有提供思维导图模板? 思维导图模板,可以帮助用户更快速上手,提高绘图效率,并创建更加优质的导图视觉效果。这个功能对于新手,或者非专业人士来说,是锦上贴花,也是雪中送碳。 4、软件有哪些附加功能? 是有特色的思维导图软件,除了看它必备的功能有之外,还要看看附加功能,比如:甘特图、分析视图、鱼骨图等等,还有导出格式,这才是一款好的思维导图软件区别于其他软件的亮点。 5、软件是否支持办公协同功能? 如果是企业使用,就要考虑软件是否支持团队办公协同。好的脑图软件应该支持文件共享及编辑功能,这样项目策划能够及时更新跟进,提高办公效率! 专业型的思维导图软件--MindMaster,极大的满足了你对绘制思维导图的需求,是一款性价比极高的思维工具。支持跨平台办公,无论你是Windows、Mac还是Linux系统,都能满足,而且如果你需要在手机上查看文件的话,还有软件的云分享功能,进行在各平台分享,也可以直接在手机上扫描二维码进行查看。MindMaster提供免费版和专业版,既能满足普通人的绘图需求,也能满足商业要求的用户使用。@@@@

心理学思维导图详细版介绍

心理学思维导图详细版介绍 导语: 现如今,越来越多的人喜欢用思维导图解决问题,记录笔记;思维导图逐渐成为一款流行天下的思维工具。新手们第一次接触这个概念,不知道如何使用它绘制心理学思维导图,那么一定要认真阅读本文。 用什么软件绘制思维导图? 对于新手来说,用MindMaster思维导图是一个不错的选择。MindMaster思维导图软件操作界面如Office界面一样简单,不需太多的学习,随意便可上手。软件内有上百种现有模板可供使用,主题一键切换;大量剪贴画素材可以用来丰富你的思维导图;软件支持导出JPG、PDF、PPT等多种格式,还支持跨平台使用。 免费获取MindMaster思维导图软件:https://www.sodocs.net/doc/c25221788.html,/mindmaster/ 新手如何使用MindMaster绘制思维导图? 1、首先当然需要在电脑上下载安装好MindMaster,在官网下载的时候可以根据自己的电脑系统来选择对应的版本,安装好之后双击打开运行。

2、接着打开MindMaster思维导图软件,点击“新建”,选择任意模板开启绘图之旅,也可以点击右侧“创建”一个空白模板进入画布。 3、进入之后会看到有一个中心主题,你可以用鼠标双击来进行编辑。如果你想添加子主题的话,可以用鼠标移动到中心主题附件,当出现一个“+”符号时点击一下就可以自动添加,当然你也可以在上方菜单栏里进行添加。

初始的主题样式看起来会比较单调,可以通过右侧来对思维导图的主体框架、样式、颜色、线条粗细等进行编辑设置,丰富主题,让思维导图看起来更漂亮。 5、当然软件中还有许多可爱的剪贴画也是可以使用的,除此之外你还可以插入图片、评论、超链接、注释、附件等等。

思维导图教程完整版介绍

思维导图教程完整版介绍 导语: 很多刚接触思维导图的人,只知道思维导图好像很厉害,但并不知道如何合理的运用到自己需要的地方。这篇完整版教程将教你绘制! 免费获取MindMaster思维导图软件:https://www.sodocs.net/doc/c25221788.html,/mindmaster/ 全平台使用的思维导图软件有哪些? 使用手机APP制作的思维导图如何导进电脑里编辑呢?且不说格式兼容问题,要将制作好的思维导图文件换平台编辑无疑是麻烦的,并且还要借助第三方软件。但是用MindMaster就不同了,MindMaster有云空间,可以将文件直接保存在云,并且MindMaster支持在各个平台使用,有PC端、移动端也有在线版。所以只要你保存了云空间,不管你用设备都可以打开编辑。

MindMaster思维导图软件功能:

MindMaster思维导图软件有什么特色? 一、基本功能完备 MindMaster思维导图,作为一款通用性很强的移动端思维导图工具,不仅自带大量免费思维导图模板,还可以通过功能键快速切换主题、布局样式、颜色搭配,甚至是给思维导图添加附件、外框、标签、概要等元素。 二、容易上手 用过MindMaster思维导图PC版的应该都清楚,这款软件拥有非常简洁的界面、通俗易懂的功能、丰富多彩的模板,以及多种风格的布局样式,只需要简单的操作,就能完成各种风格的思维导图。

三、免费而且国产 MindMaster是一款国产思维导图软件,无论你是职场人士,还是在校的学生,都可以用MindMaster免费版本制作出专业又好看的思维导图。 四、全平台通用格式 MindMaster思维导图软件,支持常用的办公电脑系统,包括Windows、Mac 和Linux,以及常用的Android、iPad、IOS等移动端,同时还可以进行免下载在线使用。所有平台的文件,都可以通过软件再带的云存储功能,一键进行同步

非常有用的将参数从verilog传送到c

非常有用的将参数从verilog传送到c 自行寫一個System Task,能夠接受由Verilog的reg或wire傳給C的值。 Introduction 使用環境: Cadense NC-Verilog 5.4 + Visual C++ 6.0 在此文件,將學習到 1.如何將參數從Verilog傳到C? 2.如何撰寫簡單的compiletf? 3.如何從C抓到Verilog傳來的參數? show_value.c / C 1#include 2#include "vpi_user.h" 3 4PLI_INT32 show_value_compiletf(PLI_BYTE8 *user_data) { 5 vpiHandle systf_handle, arg_iterator, arg_handle; 6 PLI_INT32 arg_type; 7 8// obtain a handle to the system task instance 9 systf_handle = vpi_handle(vpiSysTfCall, NULL); 10if (systf_handle == NULL) { 11 vpi_printf("ERROR: $show_value failed to obtain systf handle\n"); 12 vpi_control(vpiFinish, 0); 13 14return -1; 15 } 16 17// obtain handles to system task arguments 18 arg_iterator = vpi_iterate(vpiArgument, systf_handle);

ad绘制元件封装操作总结

发光二极管:颜色有红、黄、绿、蓝之分,亮度分普亮、高亮、超亮三个等级,常用的封装形式有三类:0805、1206、1210 二极管:根据所承受电流的的限度,封装形式大致分为两类,小电流型(如1N4148)封装为1206,大电流型(如IN4007)暂没有具体封装形式,只能给出具体尺寸:5.5 X 3 X 0.5 电容:可分为无极性和有极性两类,无极性电容下述两类封装最为常见,即0805、0603;而有极性电容也就是我们平时所称的电解电容,一般我们平时用的最多的为铝电解电容,由于其电解质为铝,所以其温度稳定性以及精度都不是很高,而贴片元件由于其紧贴电路版,所以要求温度稳定性要高,所以贴片电容以钽电容为多,根据其耐压不同,贴片电容又可分为A、B、C、D四个系列,具体分类如下: 类型封装形式耐压 A 3216 10V B 3528 16V C 6032 25V D 7343 35V 拨码开关、晶振:等在市场都可以找到不同规格的贴片封装,其性能价格会根据他们的引脚镀层、标称频率以及段位相关联。 电阻:和无极性电容相仿,最为常见的有0805、0603两类,不同的是,她可以以排阻的身份出现,四位、八位都有,具体封装样式可参照MD16仿真版,也可以到设计所内部PCB库查询。 注: A\B\C\D四类型的封装形式则为其具体尺寸,标注形式为L X S X H 1210具体尺寸与电解电容B类3528类型相同 0805具体尺寸:2.0 X 1.25 X 0.5 1206具体尺寸:3.0 X 1.5 0X 0.5 ***规则 印制电路板(PCB)是电子产品中电路元件和器件的支撑件。它提供电路元件和器件之间的电气连接。随着电子技术的飞速发展,PCB的密度越来越高。PCB 设计的好坏对抗干扰能力影响很大。实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子产品的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声。因此,在设计印制电路板的时候,应注意采用正确的方法,遵守PCB设计的一般原则,并应符合抗干扰设计的要求。 一、 PCB设计的一般原则 要使电子电路获得最佳性能,元器件的布局及导线的布设是很重要的。为了设计质量好、造价低的PCB,应遵循以下的一般性原则: 1.布局 首先,要考虑PCB尺寸大小。PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,成本也增加;过小,则散热不好,且邻近线条易受干扰。在确定PCB尺寸后,再确定特殊元件的位置。最后,根据电路的功能单元,对电路的全部元器件进行布局。 在确定特殊元件的位置时要遵守以下原则: (1)尽可能缩短高频元器件之间的连线,设法减少它们的分布参数和相互间的电磁干扰。

思维导图课程介绍

思维之剑 ——职场思维导图精英训练 一、序 二、课程背景 三、什么是思维导图? 四、思维导图在全球的应用 五、课程目的 六、思维导图能为您及组织带来什么? 七、课程安排 八、学习对象(职场人士,包含企事业、政府、教育界各级职场精英人士!) 九、课程大纲 一、序: 【思维导图】透析思维智慧人生【思维导图】思路清晰决策有力 【思维导图】记忆力倍增【思维导图】创新思维的助力火箭 【思维导图】协助企业创造高效型智能型企业 它是思维的革命!它是大脑的革命! 它是21世纪全球性的思维工具,在全世界,成功帮助超过2 .5亿人改变工作和生活!

器! 四、思维导图在全球的应用 五、课程目的 六、思维导图能为您及组织带来什么? 七、课程安排 八、学习对象(职场人士,包含企事业、政府、教育界各级职场精英人士!) 九、课程大纲 十、导师介绍(由出身全球500强HP,中国职场思维导图教父——宋尚教授主讲!) 十一、如何合作 一、序: 【思维导图】透析思维智慧人生【思维导图】思路清晰决策有力 【思维导图】思考力决定竞争力【思维导图】协助企业创造高效型智能型企业 【思维导图】创新思维的助力火箭【思维导图】协同文化的引擎 它是思维的革命!它是大脑的革命! 它是21世纪全球性的思维工具,在全世界,成功帮助超过2 .5亿人改变工作和生活!

器! 谁在学习及使用职场思维导图? 他们缔造了商业奇迹,他们具有一个共同的特点:他们都知道如何正确的使用他们的大脑,并且让大脑帮助他们创造无限的财富...... 哪些企业在学习及使用职场思维导图?

哪些全球顶尖大学、商学院在教授思维导图课程?

Cerberus连续油管仿真模拟软件技术要求

Cerberus连续油管仿真模拟软件技术要求 一、产品用途 由于连续油管的队伍急速扩张,再加之近年连续油管拖动压裂的工艺广泛的应用,连续油管长时间处于高压、携砂液冲刷的环境下使用,需要密切的对连续油管的疲劳度进行检测分析。 需要采购相应的软件进行分析计算,通过软件可以对连续油管进行疲劳度分析,施工参数模拟、实时检测数采数据、井筒工况模拟、工具串选配模拟等功能。 通过调研,最终确定Cerberus连续油管仿真模拟软件主要包括的模块有:Orpheus、Reel-trak、Hydra、Velocity String、Solids Cleanout、Achilles、Hercules、String Editor /Reel Editor / Well Editor/Tool String Editor / Fluid Editor模块,并提供软件专用处理机。 二、技术参数 2.1软件模块详细功能

2.2 软件载体处理机参数要求 (1)处理系统Windows10,64位系统,简体中文版; (2)处理器:Inter i5-7200U或以上; (3)内存4GB或以上; (4)DirectX版本:DirectX12或以上。 (5)要求软件专机专用,使用硬件加密方式。 三、产品检验 依据有关标准,协议要求,合同及供方出具的相关技术文件对软件使用、各部性能进行检查验收。生产过程中的组织、生产、检验由乙方负责。 四、产品质量保证及服务 1. 乙方提供软件培训; 2. 现场应用出现问题时,乙方服务人员及时向现场用户提供技术支持。

3. 每年密钥认证由乙方无偿提供。 井下作业公司压裂分公司 2018年9月17日

如何绘制贴片元件封装

1、我们建议自己创建的元件库保存在另外的磁盘分区,这样的好处是如果在Protel DXP软件出现问题或操作系统出现问题时,自己创建的元件库不可能因为重新安装软件或系统而丢失,另外对元件库的管理也比较方便和容易。 2、对于自己用手工绘制元件时必须注意元件的焊接面在底层还是在顶层,一般来讲,贴片元件的焊接面是在顶层,而其他元件的焊接面是在底层(实际是在MultiLayer层)。对贴片元件的焊盘用绘图工具中的焊盘工具放置焊盘,然后双击焊盘,在对话框将Saple(形状)中的下拉单修改为Rectangle(方形)焊盘,同时调整焊盘大小X-Size 和Y-Size为合适的尺寸,将Layer(层)修改到“Toplayer”(顶层),将Hole Size(内经大小)修改为0mil,再将Designator中的焊盘名修改为需要的焊盘名,再点击OK就可以了。有的初学者在做贴片元件时用填充来做焊盘,这是不可以的,一则本身不是焊盘,在用网络表自动放置元件时肯定出错,二则如果生产PCB板,阻焊层将这个焊盘覆盖,无法焊接,请初学者们特别注意。 3、在用手工绘制封装元件和用向导绘制封装元件时,首先要知道元件的外形尺寸和引脚间尺寸以及外形和引脚间的尺寸,这些尺寸在元件供应商的网站或供应商提供的资料中可以查到,如果没有这些资料,那只有用千分尺一个尺寸一个尺寸地测量了。测量后的尺寸是公制,最好换算成以mil为单位的尺寸(1cm= 1000/2.54=394mil 1mm=1000/25.4=39.4mil),如果要求不是很高,可以取1cm=400mil,1mm=40mil。 4、如果目前已经编辑了一个PCB电路板,那么单击【Design】/【Make PCB Library】可以将PCB电路板上的所有元件新建成一个封装元件库,放置在PCB文件所在的工程中。这个方法十分有用,我们在编辑PCB文件时如果仅仅对这个文件中的某个封装元件修改的话,那么只修改这个封装元件库中的相关元件就可以了,而其他封装元件库中的元件不会被修改。

NCveriog安装指南

Ncverilog 安装指南 一。eda安装环境的搭建(建议) 1 在主文件夹下建立soft文件夹,里面建立edasoft文件夹,在edasoft下建立source.source文件用来保存软件的配置。 2打开source.source文件,输入下面内容: export EDA_HOME=~/soft/edasoft 关闭保存。 3在终端执行: gedit ~/.bashrc 打开用户bash的配置文件,在文件最后新起一行,输入: source ~/soft/edasoft/source.source 保存关闭。 二。安装IUS(或者IFV)(内含ncverilog)工具 需要的工具: Float_Cadence_LicGen.tar IUS09.20.007L1P1.tar.gz 如下图: 安装环境:ubuntu11.10,已经安装了可能需要的一些包(在旋风处可以获取)。1.分别解压两个包,进入IUS09.20.007L1P1,在此目录下打开终端,运行:sudo chmod 777 SETUP.SH 然后再: ./SETUP.SH 就会如下图所示:

点击enter键直到出现: 在后面输入你要安装的目录,如:~/soft/edasoft/IUS 回车继续: 下来惠询问安装路径:

然后会跳出图形界面安装InstallScape: 点击next直到下图,选上所有安装项(除去含64bit字样的,那个是64bit的): 下一步安装:

安装完会提示是否配置,选yes: 选上所有进行配置。在询问是否配置lisence时选否:

之后完毕,close。 2、现在配置lisence。进入刚解压出来的Float_Cadence_LicGen文件夹: 修改all.dat的内容,只修改第二行,注意和你的IUS安装目录对应: 回到Float_Cadence_LicGen文件夹,在该文件夹下打开终端,输入如下指令: wine lmcrypt_cdslmd -i all.dat -o key.cadence -verfmt 6 -r 如下: 执行后会产生key.cadence文件。重命名为cadencelisence.dat,放到你的安装目录下:

连续油管配套工具技术要求

采购方案号:xxx 采气井工具及相关配件 技 术 协 议 买受人:吐哈油田工程技术研究院 出卖人:XXXX 签订时间:2018年4月 签订地点:新疆鄯善

1、总则 本协议规定了吐哈油田工程技术研究院用于哈萨克斯坦让那若尔油田的采气井工具及相关配件制造、检验、运输及安装调试等要求。 出卖人应对出卖的采气井工具及相关配件制造、供货、检查、实验和指导安装调试负全部责任,保证所提供的设备满足相关标准及规范,以及相关使用说明书等附带资料文件的要求。 对于不能妥善解决的矛盾,出卖人有责任以书面形式通知买受人,出卖人若有与以上文件不一致的地方,应在其投标书中予以说明,若没有说明,则被认为完全符合上述文件所有要求,即使出卖人符合本技术协议的所有条款,也不能免除出卖人对所有提供设备和附件应当承担的全部责任。 出卖人的供货和服务包括:按照供货清单供货、出厂测试、包装运输、指导安装及调试、质量保证、技术支持等。 2、供货范围

3、技术参数及要求 (1)变口接头 扣型:母扣螺纹3-1/2" V AM TOP 公扣螺纹3-1/2"SL-APEX;适应工作环境:H2S≤6%,CO2≤0.8%;

扣型:公扣螺纹3-1/2" V AM TOP 母扣螺纹3-1/2"SL-APEX;适应工作环境:H2S≤6%,CO2≤0.8%; 扣型:公扣螺纹2-7/8" UPTBG 母扣螺纹2-7/8"SL-APEX;适应工作环境:H2S≤6%,CO2≤0.8%; 扣型:母扣螺纹2-7/8" UPTBG 公扣螺纹2-7/8"SL-APEX;适应工作环境:H2S≤6%,CO2≤0.8%; (2)液控毛细管线 工作压力:10000Psi,壁厚:0.065in,材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%; 型号:d 3/8'',作用:连接主翼阀与地面控制柜; 型号:d 1/4'',作用:连接井下安全阀阀与地面控制柜; (3)毛细管卡套终端接头″ 型号:1/4"NPT-1/4″ 承压,10000Psi, 总长:50mm;外径:25mm;通径:6mm; 材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%;作用:连接毛细管与油管挂; 型号:1/2"NPT-1/4″ 承压,10000Psi, 总长:50mm;外径:25mm;通径:6mm; 材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%;作用:连接井口与毛细管; 型号:1/4"NPT-3/8″ 承压,10000Psi, 总长:50mm;外径:25mm;通径:6mm; 材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%;作用:连接主翼阀与毛细管; 型号:1/2"NPT-3/8″ 承压,10000Psi, 总长:50mm;外径:25mm;通径:8mm; 材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%;作用:连接井口与毛细管; 型号:3/8"NPT-3/8″ 承压,10000Psi, 总长:50mm;外径:25mm;通径:8mm; 材料:316不锈钢;适应工作环境:H2S≤6%,CO2≤0.8%;作用:连接主翼阀与毛细管;

Ncverilog 命令使用详解

Ncverilog 命令使用详解 我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(n celab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。 三命令模式命令如下: ncvlog -f run.f ncealb tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb 文件放在首位,这样可以避免出现提示timescale的错误。 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。 第三个命令中,gui选项是加上图形界面 值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。 单命令模式 ncverilog +access+wrc rtl +gui 在这里,各参数与三命令模式相同。注意“ + ”。 在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。 +cdslib+... 设定你所仿真的库所在 +define+macro ... 预编译宏的设定 +errormax+整数当错误大于设定时退出仿真 +incdir+path 设定include的路径 +linedebug 允许在代码中设定line breakpoint +log+logfile 输出到名为logfile的文件中 +status 显示内存和CPU的使用情况 +work 工作库 +access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c) +gui 显示图形交互界面 +input script_file 输入脚本文件 +licqueque 如无licence等待licence +run 如果在GUI交互界面下, 启动后将自动开始仿真 +loadpli1=... 动态加入PLI +timescale 设定仿真单位和精度 +nocopyright 不显示版权信息

思维导图简介

终极思维工具——思维导图简介 人的大脑常被称为“沉睡的巨人”,因为大部分人终其一生也仅仅使用了4%至6%的大脑 潜能。很多教育家致力于开发人的潜能,其中有一种记忆方法可以让人在两分钟内记忆一 副打乱的扑克牌顺序、或者复述旁人随口说出的几十个数字,英国的查尔斯王子也曾借助 这种方法来提高自己的记忆力。 有一天查尔斯王子看到他的朋友泰德•休斯———一位英国桂冠诗人,在 用“思维导图”教一些孩子如何画图,孩子们仿佛是在胡乱涂鸦似的不断去创造。查尔斯 王子被“思维导图”迷住了,泰德•休斯告诉他,这是一个叫博赞的人发明的思维 方法。 于是博赞就介绍了他的“思维导图”给查尔斯王子。 思维导图简介 思维导图(MindMap)是一种终极的思维工具,由“世界记忆之父”托尼•博

赞先生所发明,并在全球得到广泛推广,已成为21世纪风靡全球的思维工具,到目前已被 世界上数亿人所使用。 思维导图由颜色、图象、关键词、曲线等要素构成,充分发挥了大脑思维的“想象”与“联想”的特点,能够充分挖掘大脑的创造力与记忆力潜能。 通俗地说,思维导图是一个简单、有效、美丽的思维工具。它依据全脑的概念, 按照大脑自身的规律进行思考,全面调动左脑的逻辑、顺序、条例、文字、数字以及右脑 的图像、想象、颜色、空间、整体思维,使大脑潜能得到最充分的开发,从而极大地发掘 人的记忆、创造、身体、语言、精神、社交等各方面的潜能。 思维导图注重开发人的左、右脑,运用线条、符号、词汇和图像,把一长串枯燥的信息变 成彩色的、容易记忆的、有高度组织性的图,它绘制起来非常简单,而且十分有趣!它可 以帮助人们改善思维,提高记忆力和办事效率。 “思维导图的核心思想是联想和想象,人们在联想和想象的环境下对事物的记忆 会非常深刻。”托尼•博赞介绍说。 找到一张足够大的纸和颜色尽量多的笔,在纸的中央画出(或写出)你所要记忆 的内容的核心部分,从中心画出很多曲线,然后尽情去联想和想象,并将其内容添在曲线 的分支处。不要太介意顺序与组织,更不要在意是否整洁,关键是不要让思维停顿下来。 大多数情况下,当你完成这张图时,它会自成体系。 就以笔记为例吧,传统意义上的笔记,是指那些按顺序且呈线性的组织方式。而博赞做笔 记时喜欢用“思维导图”画满箭头,句子也不成行。 他说:“从表面上看起来整洁的笔记,从信息角度讲,其实是杂乱的。因为在那 些整洁的笔记中,关键信息是隐蔽的,并且混杂于一些不相干的词语中。而那些看起来凌 乱的笔记从信息角度讲却是整洁的,它们能及时地表明重要的概念及其之间的联系。你可 以用不到10分钟的时间在一张白纸上整理完成‘思维导图’。而它所记录的信息量是你用 传统的笔记方式一小时内无法完成的。” 研究表明人的头脑都是左右发展不平衡的。博赞发明的“思维导图”的核心思想,就是把形象思维和抽象思维结合起来,让人的左右半脑在思维过程中同时运作。“思维导图”把所有的信息都组织在一个树状的结构图上,每一个分支上写着不同的关键词或短语,而 图上又充满着色彩和图像,能够同时刺激人的两个半脑,让人爱思考、记忆、分析、触发 灵感的同时发挥潜能。 博赞发明的思维导图这一思维工具,被全球超过2.5亿人应用,查尔斯王子只是 无数思维导图运用者弧6兰途攀甏泻娇展纠谩八嘉纪肌鄙杓菩碌幕 停诰鸥鲈碌氖奔淅铮谑×?200万美元;另外有一家年销售额在几百万美元的新加坡公司,遭受了火灾,所有的东西都付之一炬,很多人认为这家公司肯定完了,可是他们的总 经理不认为这样,他说,我们要用“思维导图”的方式重建公司,最后他们成功了,在10 天内恢复了正常工作。 “思维和画图才是人类最基础的语言。”东尼•博赞强调,思维导图这一思 维工具虽然看起来非常简单,但它是符合人类大脑思维方式的,不仅可以增强大脑思维能力,提升注意力与记忆力,更重要的是能够启发联想力和创造力。 中国第一位女世界记忆大师王茂华介绍说: “思维导图是一种帮助大脑进行全方位思考的图形技术,被称为打开大脑潜能的 万能钥匙。同时,它也是综合了快速记忆两大要素(联想、重点)配合大脑自然思维特性 的学习和笔记的方法。 简单来说它是一种帮助你理清思维的图形工具,帮助你养成像天才一样思考习惯的

相关主题