搜档网
当前位置:搜档网 › 流水灯在Proteus下的仿真实现

流水灯在Proteus下的仿真实现

流水灯在Proteus下的仿真实现
流水灯在Proteus下的仿真实现

重庆邮电大学

微处理器与嵌入式系统设计

课程实验报告

实验名称:流水灯在Proteus下的仿真实现

学期:2014-2015学年第2学期

班级:

学号:

学生姓名:

任课教师:

实验教室:

二〇一五年〇四月十四日

【实验名称】流水灯在proteus下的仿真实现

实验要求:

1、基本要求:4个流水灯循环闪烁,1、3、

2、4流水灯交替闪烁;

2、扩展要求:8个流水灯依次闪烁,1、

3、5、7、2、

4、6、8流水灯交替闪烁,流水灯逐渐亮1、1-2、1-3、1-4、1-

5、1-

6、1-

7、1-8。

实验目的:

1、熟悉proteus 软件,了解proteus 软件的结构组成和功能,掌握Proteus软件在单片机仿真中的应用,为开发、调试单片机应用系统做准备;

2、熟练掌握isis 环境中电路图的绘制;

3、熟悉keil c51软件的程序设计方法;

4、掌握keil c51软件与proteus软件联合仿真调试的方法。

实验方法:

1、通过proteus软件绘制出由单片机和LED构成的仿真电路;

2、通过keil软件编写控制单片机并点亮LED的程序;

3、将keil生成的hex文件导入proteus中的单片机中,实现仿真。

4、观察仿真现象。

实验步骤:

1、打开软件:双击ISIS 7 Professional 图标,随后就进入了Proteus ISIS 集成环境。

2、添加元器件:单击pick from libraries,通过搜索栏搜索所需元件(如:AT89C52、LED),根据元件预览区域的显示,双击所需元件,元件则出现在原理图编辑环境的元件列表区。用同样方法找出原理图所需全部元件。

3、放置元器件:在元件列表区单击所需放置的元件,将鼠标置于图形编辑窗口该对象的欲放位置,单击鼠标左键,该对象完成放置。同样方法放置原理图所需全部元件。

4、放置电源和地:选择terminal mode选择电源和地,以放置元器件相同的放法放置电源和地。

5、连接电路。

6、编程:通过keil软件编写控制单片机并点亮LED的程序。

7、导入:将keil生成的hex文件导入proteus中的单片机中,实现仿真。

8、根据仿真现象优化代码。

实验效果:

1、4个流水灯循环闪烁,1、3、

2、4流水灯交替闪烁;

2、8个流水灯依次闪烁,1、

3、5、7、2、

4、6、8流水灯交替闪烁,流水灯逐渐亮1、1-2、1-3、1-4、1-

5、1-

6、1-

7、1-8;

实验代码:

#include

#include

#define uchar unsigned char

#define uint unsigned int

uchar xx,i;

uchar code table[]={0xfe,0xfd,0xfb,0xf7};

void delayms(uint);

//延时

void delayms(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void main()

{

while(1)

{

//数组实现1、2、3、4个流水灯循环闪烁

for(i=0;i<4;i++)

{

P0=table[i];

delayms(500);

}

//总线控制1、3、2、4流水灯交替闪烁

P0=0xfe;

delayms(500);

P0=0xfb;

delayms(500);

P0=0xfd;

delayms(500);

P0=0xf7;

delayms(500);

//8个流水灯依次闪烁

xx=0xfe;

for(i=8;i>0;i--)

{

P0=xx;

delayms(500);

xx=_crol_(xx,1);

}

//1、3、5、7流水灯交替闪烁

xx=0xfe;

for(i=4;i>0;i--)

{

P0=xx;

delayms(500);

xx=_crol_(xx,2);

}

//2、4、6、8流水灯交替闪烁

xx=0xfd;

for(i=4;i>0;i--)

{

P0=xx;

delayms(500);

xx=_crol_(xx,2);

}

//流水灯逐渐亮1、1-2、1-3、1-4、1-5、1-6、1-7、1-8

xx=0xfe;

for(i=8;i>0;i--)

{

P0=xx;

delayms(500);

xx=xx<<1;

}

}

}

心得体会:

在实现实验要求时原打算使用循环移位函数,在真正实现时发现控制4个流水灯循环闪烁不同于控制8个流水灯,需要限制循环移动的位数,故使用了for循环进行限制。在实现流水灯的过程中,进行思考,发现可以采用很多方法,如:位控制、总线控制还可以设置数组,每次在数组中去总线端口的数据闪烁LED。在进行循环移位时要注意》移位每次补0。流水灯实验并不困难,但在实现过程中的思考是从未有过的。

根据Proteus的步进电机的设计仿真

目录 目录 (1) 摘要 (2) 第一章 Proteus绘制仿真原理图 (3) 1.1 Proteus简介 (3) 1.2 Proteus ISIS简介 (3) 第二章硬件电路设计 (4) 2.1 步进电机 (5) 2.1.1 步进电机简介 (5) 2.1.2 步进电机的特点 (5) 2.2 STC8951单片机 (6) 2.2.1 总述 (6) 2.2.2 性能 (6) 2.2.3 结构概览 (7) 2.2.4 芯片的引脚排列和说明 (8) 2.3 ULN2003A介绍 (10) 2.4 复位电路和时钟电路 (11) 2.5 整个电路的原理 (12) 第三章软件系统设计 (13) 3.1 电路流程图 (13) 第四章电路仿真 (13) 4.1 Proteus原理图绘制过程 (13) 4.2 仿真设置 (16) 第五章硬件电路的制作与调试 (19) 5.1焊接准备与注意事项 (19) 5.2单片机程序写入 (20) 5.3 硬件安装 (21) 5.4硬件调试 (22) 总结 (23) 参考文献 (24) 附录(程序) (25)

摘要 步进电机广泛应用在生产实践的各个领域。它最大的应用是在数控机床的制造中,因为步进电机不需要A/D转换,能够直接将数字脉冲信号转化成为角位移,所以被认为是理想的数控机床的执行元件。本设计利用proteus仿真软件进行电路仿真,系统通过设置四个按键分别控制不进电机的起止、圈数、方向、不进速度,使用1602液晶显示以上参数。整个系统具有稳定性好,实用性强,操作界面友好等优点。本文应用单片机、步进电机驱动芯片、字符型LCD和键盘阵列,构建了集步进电机控制器和驱动器为一体的步进电机控制系统。二维工作台作为被控对象通过步进电机驱动滚珠丝杆在X/Y轴方向联动。文中讨论了一种以最少参数确定一条圆弧轨迹的插补方法和步进电机变频调速的方法。步进电机控制系统的开发采用了软硬件协同仿真的方法,可以有效地减少系统开发的周期和成本。最后给出了步进电机控制系统的应用实例。

直流电动机正反转proteus仿真设计-参考模板

直流电动机正反转Proteus仿真设计 引言 随着人民生活水平的提高,产品质量、性能、自动化程度等已经是人们选择产品的主要因素。其中,直流电动机正反转自动控制在生活中起了很大的作用,比如洗衣机的工作、遥控汽车的操作、DVD的应用等等,它在实际生活中给人们需求上提供了很大的方便与乐趣。不只是生活,它还在工业、农业、交通运输等各方面得到了广泛的应用,实现电动机正反转的控制是很多产品设计的核心问题。直流电动机显示出交流电动机不能比拟的良好启动性能和调速性能,比较广泛应用于速度调节要求过高,正反转频繁或多元同步协调运转的机械生产。因此,学会电动机正反转控制的原理是极其重要的。然而,在本直流电动机正反转仿真设计中,要借助Proteus软件、Keil软件和C语言的辅助进行仿真设计,通过仿真设计,让我们更清楚了解电动机正反转的原理和电路图,增强对直流电动机的认知。 在Proteus绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在Proteus的原理图中看到模拟的实物运行状态和过程,Proteus还提供了一个图形显示功能,可以将线路上变化的信号,以图形的方式实时地显示出来,其作用与示波器相似,但功能更多。这些虚拟仪器仪表具有理想的参数指标,例如极高的输入阻抗、极低的输出阻抗。这些都尽可能减少了仪器对测量结果的影响。在本设计中,Proteus软件采用了电容、电阻、晶振、电动机、LED、开关、电动机等多种元件进行绘图,并基于80C51和ULN2003A进行电路图设计,充分展示Proteus软件元件库量大,掌握它的基本绘图操作。而对于Keil软件,采取创建工程,创建执行文件,利用C语言编写程序,生成hex文件,为Proteus 仿真提供驱动控制,实现直流电动机正反转的设计。 在本论文设计中,主要介绍直流电动机正反转原理,Proteus软件功能绘图、仿真调试,以及Keil软件功能、程序编写和仿真程序文件生成。让大家更清楚了解Proteus软件、Keil软件、C语言在直流电动机正反转仿真设计的应用。

Proteus仿真软件使用方法

实验八 Proteus仿真软件使用方法 1.实验目的: (1)了解Proteus仿真软件的使用方法。 (2)了解51单片机编程器Keil与Proteus仿真软件的联用方法。 2.实验要求: 通过讲授和操作练习,学会正确使用Proteus仿真软件及Keil编程及其联合调试。 3.实验内容: (1)Proteus 仿真软件介绍 Proteus 软件是由英国LabCenter Electronics 公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑软件。它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。 通过Proteus ISIS软件的VSM(虚拟仿真技术), 用户可以对模拟电路、数字电路、模数混合电路,以及基于微控制器的系统连同所有外围接口电子元器件一起仿真。 图8-1是Proteus ISIS的编辑窗口: 图8-1 ISIS的编辑界面 图中最顶端一栏是“标题栏”,其下的“File View Edit ……”是“菜单栏”,再下面的一栏是“命令工具栏”,最左边的一栏是“模式选择工具栏”;左上角的小方框是“预览窗口”,其下的长方框是“对象选择窗口”,其右侧的大方框是“原理图编辑窗口”。 选择左侧“模式选择工具栏”中的图标,并选择“对象选择窗口”中的P按钮,就会出现如图8-2的元器件选择界面:

图8-2 元器件库选择界面 在元器件列表框中点击你需要的器件类型(例如:电阻-Resistors,单片机芯片-MicroprocessorICs, LED-Optoelectronics)或在左上角的关键字(Keywords)框中输入你需要的器件名称的关键字(如:信号源 - Clock, 运放 - CA3140等),就会在图8-2中间的大空白框列出你所需的一系列相关的元件。此时,你可用鼠标选中你要的元件,则图8-2右上角的预览框会显示你所要元件的示意图,若就是你要的元器件,则点击OK按钮,该元器件的名称就会列入位于图8-1左侧的“对象选择窗口”中(参见图1左侧下方框)。 所需元器件选择好后,在“对象选择窗口”选择某器件,就可以将它放到图8-1中的“原理图编辑窗口”中(若器件的方向不合适,你可以利用图1左下角的旋转按钮来改变它)。将所要的元器件都选好后,将它们安放到合适的位置,就可以用连接线把电路连接好,结果存盘(请按规定的目录存盘,并记住其路径/目录/文件名[学号-实验序号])。 (2)51单片机编程器– Keil V3的使用 Keil编程器可用于MCS-51单片机软件编程与调试,它的工作界面如图8-3所示: Keil编程器是Keil Software Inc/Keil Electronic GmbH 开发的基于80C51内核的微处理器软件开发平台,可以完成从工程(Project)的建立和管理、程序的编译和连接、目标代码的形成、软件仿真等一套完整的软件开发流程。它与Proteus挂接,可以进行单片机应用系统的硬件仿真。 汇编语言编程方法: ①打开“File”菜单→选择新建“New...”→在弹出的文本框(Text1)中编写所需的汇编语言程序→程序写好后,保存(从File→Save As..→选择某目录,文件名.ASM, 存盘); ②打开“Project”菜单→选择新建工程“New Project...”→在弹出的窗口填写:工程名→保存(文件名的后缀是 .uv2 。此时图8-3的工程窗口中将建立Target1及 Source Group 1);

Proteus电路仿真软件在电路设计方案中的应用

Proteus电路仿真软件在电路设计中的应用 摘要:随着现代计算机技术的迅速发展,使用EDA软件进行的电路设计与仿真已经成为现代电子技术系统设计的必然趋势,在实际电路设计中引入EDA技术能有效提高设计效率,该文介绍了Proteus软件的功能和特点,并结合了具体的例子说明了如何用Proteus实现EDA辅助设计与仿真。 关键词:EDA PROTEUS计算机辅助设计仿真 0引言 测井五公司技术研究室目前承担分公司各项科研工程和技术革新,在实际运行中涉及相关电路设计,电路的真实性需要成品验证,这种传统方法成本高风险大,实验周期长,根据实际需要和适用性,研究室引入了易用的小规模Proteus软件对设计电路进行仿真,提前对所设计电路进行仿真测试和评估,电路成品有很高的符合率,有效降低了设计成本和实验周期,以下简述Proteus电路仿真软件在实际电路设计中的应用,并以实例加以讲解。 1 Proteus简介 Proteus软件是英国Labcenter electronics公司的EDA工具软件,是一个电子设计的教案平台、实验平台和创新平台,涵盖了电工电子实验室、电子技术实验室、单片机应用实验室等的全部功能。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路。该软件的特点是: ①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 ②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及Phil-lips公司的ARM(LPC系列)等。 ③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil、ADS等软件。 ④具有原理图绘制功能。能够进行SCH(原理图)和PCB(印刷板)电路的设计。 2 使用Proteus软件进行简单电路的仿真

交通灯(proteus仿真设计+程序)

52单片机简易交通灯proteus仿真设计原理 交通灯作为日常生活中必不可少的交通标志,它的设计是单 片机初学者必不可少要接受的一项课题,下面简单介绍用proteus 仿真一个由52单片机控制的简易交通灯。 本设计主要要求以下几个方面:一是根据系统控制要求设计 硬件电路,这里是用PROTEUS软件来完成;二是根据硬件电路编写 相应的程序流程图然后编写相关程序,这里程序的编制主要是用KeilC51软件来完成;三是在KEIL上用已经编好的程序生成.hex 文件载入到PROTEUS中,实现PROTEUS与KEIL的联调,完成调试 和仿真,观察调试结果是否满足设计要求,。 一:设计方案及重点: 首先南北方向红灯、东西方向绿灯亮,南北方向红灯35秒、东西方向绿灯35秒,相应的数码管显示对应的数字并读秒,同时南北方向红色的交通灯和东西方向的绿色交通灯接通点亮显示,当东西方向的绿灯时间到,则东西方向的绿灯转为黄灯,同时数码管显示黄灯的时间3秒,东西方向的黄色二极管接通点亮,此时南北方向的红灯不变。南北方向的红灯和东西方向的黄灯时间同时到,此时南北方向的红灯跳转为绿灯,时间同北方向的绿灯时间到,南北绿灯跳转为黄灯,东西方向的红灯不变,当南北方向的黄灯和东西方向的红灯时间到,南北方向的黄灯跳转为红灯,东西方向的红灯跳转为绿灯。

设计重点: 1.数码显示管的计时 2.数码管控制交通灯的转换 3.锁存器与位选器端口的选择 4.电路连接与程序编写 二:仿真器件的介绍: 1.单片机芯片:AT89C52, AT89C52是一个低电压,高性能CMOS 8位单片机, AT89C52单片机在电子行业中有着广泛的应用。 2.数码管:7SEG-MPX2-CC,这是一个两位数共阴极的数码管, 1就是左边数码管的阴极2就是右边数码管的阴极,a,b,c,d,e,f,g,就是数码管的段码,dp就是数码管的小数点 3.锁存器与位选器:74HC573,具体作用:74HC573锁存器在数码管显示时作用的确是为了节省IO口,单片机P0口先发送abcdefghp段选信号,这时使用一个74HC573将段选信号保存住,单片机P0口再发送位选信号,此时单片机P0口信号不影响被锁存住的段选信号。,使用另一个74HC573锁存住位选信号。按以上循环,显示8位数码管只需要10个IO口。 4.上拉电阻:RESPACK-8,作用,拉高端口电压,稳定端口电压值。 5.交通灯:TRAFFIC LIGHTS。

Proteus仿真实验

Proteus仿真实验 矩阵式键盘识别一 一、实验目的 通过对实验环境调试程序的使用 设计出满足指标要求的电源电路 熟悉Proteus对电源电路的仿真、测试过程。设计一个4x4的矩阵键盘,以P3.0~P3.3作为行线,以P3.4~P3.7作为列线,在数码管上显示每个按键的0~F序号。 二、实验器件 单片机AT89C51、瓷片电容CAP22Pf、晶振CRYSTAL 11.0592MHz、电解电容CAP-ELEC、电阻RES、排阻RESPACLK-7、按钮BUTTON、共阳数码管7SEG-COM-AM-GRN。 三、实验原理 程序: #include"reg51.h" #define uchar unsigned char #define uint unsigned int uchar buff,times,j; uchar code dispcode[]={0xC0,0xF9,0xA4,0 xB0,0x99,0x92,0x82,0xF8,0x8 0,0x90,0x88,0x83,0xC6,0xA1, 0x86,0x8E}; uchar idata value[8]; void delay1ms(void) { uchar i; for(i=200;i>0;i--); } void delay5ms(void) { unsigned char i,j; for(i=5;i>0;i--) for(j=230;j>0;j--); } void key_scan(void) { uchar hang,lie,key;

P3=0xf0; if((P3&0xf0)!=0xf0) { delay1ms(); if((P3&0xf0)!=0xf0) {hang=0xfe; times++; if(times=9) times=1; while((hang&0x10)!=0) {P3=hang; if((P3&0xf0)!=0xf0) {lie=(P3&0xf0)|0x0f; buff=((~hang)+(~lie)); switch(buff) { case 0x11:key=0;break; case 0x21:key=1;break; case 0x41:key=2;break; case 0x81:key=3;break; case 0x12:key=4;break; case 0x22:key=5;break; case 0x42:key=6;break; case 0x82:key=7;break; case 0x14:key=8;break; case 0x24:key=9;break; case 0x44:key=10;break; case 0x84:key=11;break; case 0x18:key=12;break; case 0x28:key=13;break; case 0x48:key=14;break; case 0x88:key=15;break; } value[times-1]=key; } else hang=(hang<<1)|0x01; } } } } void main(void) { while(1)

频率计设计 proteus仿真

频率计设计 Frequency count design 1 实验目的 1.会运用电子技术课程所学到的理论知识,独立完成设计课题。 2.学会将单元电路组成系统电路的方法。 3.熟悉中规模集成电路和半导体显示器件的使用方法。 4.通过查阅手册和文献资料,培养独立分析和解决实际问题的能力。培养严肃 认真工作作风和严谨的科学发展。 2.实验原理 2.1算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图1所示的算法。图2是根据算法构建的方框图。 图1算法

图2算法方框图 在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。该闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差为10 3量级,则要求闸门信号的精度为10 ?量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ?,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz 时其周期是2s,这时闸门脉冲仍是1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s 的计数结果,故在显示之间必须将计数值除以10。 2.2整体方框及原理 图3测量频率原理图 图4测量周期原理图 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号

proteus仿真软件的使用大全.doc

伟福和Proteus ISIS仿真软件的使用第一部分伟福纯软件仿真器使用入门 一、概述 伟福纯仿真软件是伟福仿真器的配套软件,伟福仿真器是国内较好的仿真器之一,它能够仿真的CPU品种多、功能强。通过更换仿真头POD,可以对不同的CPU进行仿真。可仿真51系列,196系列,PIC系列,飞利蒲公司的552、LPC764、DALLAS320,华邦438等51增强型CPU。伟不论你是否购买了他们的硬件产品,伟福网站都提供免费下载和使用。现在伟福软件已经出了VW版。 伟福纯软件仿真器具有以下特点: 1.双平台:有DOS版本和Windows版本。其中Windows版本功能强大。中文界面,英文界面可任选。 2.双工作模式:软件模拟仿真(不要仿真器也能模拟仿真)和硬件仿真。 3.双集成环境:编辑、编译、下载、调试全部集中在一个环境下。多种仿真器,多类CPU仿真全部集成在一个环境下。 这里只说明Windows版本纯软件模拟仿真的使用方法,其他内容可以到伟福网站去查看,光盘\视频文件里面也有伟福软件的使用说明。 二、Windows版本软件安装 1.将光盘插入光驱,找到E6000W文件夹,打开。 2.双击SETUP文件。 3.按照安装程序的提示,输入相应内容。 4.继续安装,直至结束。 也可以将安装盘全部复制到硬盘的一个目录(文件夹)中,执行相应目录下的SETUP进行安装。最新的版本安装更简单。 三、软件的启动 1.点击开始菜单/程序/WAVE。 2.如果在桌面建立了快捷方式,直接双击其图标即可。 启动之后的界面大致如图1-1所示:

图1-1 这个窗口是经过调整后的样子。如果位置不合适,可以通过拖放来移动位置或调整大小。 四、软件的使用 详细的使用说明请看伟福的说明,这里只说明为了对51系列单片机进行纯软件仿真时要用到的一些项目和开始使用的几个必须步骤。 1.启动软件之后,根据需要设置仿真器: 点击菜单[仿真器]|[仿真器设置](点击菜单行中的[仿真器]项,然后在其下拉菜单中点击[仿真器设置]项,以后不再说明),出现如图1-2所示对话框: 图1-2 因为要使用纯软件仿真,所以要选中使用伟福软件模拟器;晶体频率可以根据需要设置;其他按照图示选择即可。 点击目标文件页,出现如图1-3所示对话框: 图1-3 按图示设置即可。 点击语言页,出现如图1-4所示对话框:

电子秤的proteus仿真设计

设计任务及要求: 设计任务: 完成一个简单的使用数字电子秤的硬件与软件部分的设计。 设计要求: 1. 利用单片机实现对所设计的电子秤的各项功能的控制。 2. 电子秤能够LCD液晶显示出商品的名称、价格,重量、总价等信息。 3. 电子秤具有储存几种简单商品价格的功能。 4. 电子秤的测量范围要求达到5KG测量精度要求达到0.001。 5. 电子秤能够自动完成商品的价格计算。 指导教师签名:

基于单片机的实用电子秤的设计 1 设计目的单片机以其功能强,体积小,功耗低,易开发等很多优势被广泛应用。本次数字电子秤的设计就是需要通过选择合适的单片机来进行主控,再结合A/D 转换、键盘、液晶显示、复位电路和蜂鸣器报警驱动电路的知识,同时在软件的设计过程中用到键盘扫描、液晶显示驱动、模数转换程序及汉字库的的设计,做到对我们所学数电、模电、单片机等知识的综合应用,最终实现所设计数字电子秤的各项功能,达到“巩固知识,培养技能,学而用之”的实践目的。通过这次课程设计,不但要提高我们在工作中的学习能力、探究能力、应用能力和动手能力,还要历练我们不畏艰难、不懂便学、有漏必补的认真严谨的工作态度,强化我们的社会适应力和社会竞争力,为走向社会提前试水,完善自我。 2 设计的主要内容及要求本设计主要完成一个简单实用数字电子秤的硬件电路部分和软件部分的设计。硬件部分包括数据采集、最小系统板、人机交互界面三大部分。其中,数据采集部分由压力传感器和A/D 转换部分组成;人机界面部分为键盘输入、液晶显示。软件部分应用单片机C 语言实现了本设计的全部控制功能。本设计的数字电子秤要求能够显示商品的名称、价格、总量、总价等;能够自动完成商品的价格计算;能够储存几种简单商品的价格;能够具有超重提醒功能,一旦重量超出了自身重量的测量的范围,发出警报;同时对数字电子秤的测量范围要达到5KG ,测量精度要求达到0.001。 3 整体设计方案整个数字电子秤电路由压力传感电路(ADC0832 采样)、模数转换系统、单片机主控制电路、LM4229 显示电路、蜂鸣器报警电路和4* 4 键盘电路6 个部分 组成。如图3.1所示

直流稳压电源设计 proteus仿真

直流稳压电源 LinearDC regulated power 1.实验目的 1)熟悉并会使用电路模拟软件Protues。 2)用Protues进行简单电路的设计和模拟可调0V—20V可调稳压直流电源。 2.实验原理 电网提供的交流电u1(220V,50Hz)a u2(图b)u3(图c),u1(图d),最后是 流电压U0(图e)。 图a 图b 图c 图d 图e 3.Protues图 3.1变压器部分 变压器部分如图1所示,从变压器输出的有效电压为34V。 图1变压器

3.2整流部分 整流部分由一个二极管整流桥组成,从整流桥出来的有效电压为49.6V 图2整流桥 3.3稳压部分 7805稳压器能够输出±5V的直流电压,且性能稳定。

图3 7805稳压器 3.4滤波部分 滤波电容的效果如图d所示,滤波电容用在电源整流电路中,用来滤除交流成分。使输出的直流更平滑。 图4 滤波电容 3.5总电路图

图5 总电路图 3.6运行效果 图6运行结果 4.实验结论 本次采用的是由变压、整流、滤波、稳压的流程思路将220V交流电压变换成5V的直流电源,而其中主要是以三端固定稳压器CW7805构成的稳压电路设计作为设计的重点核心内容。三端固定稳压器CW7805的使用使系统具有功能强、性能可靠、成本低、方便易学等的特点。为满足设计需要,使用滤波电路的过滤,输出端产生了精度高、稳定度好的直流输出电压。在我们设计和调试的过程中,也发现一些问题,滤波电路滤除整流后电压中总是还含有交流成分,使之不能成为平滑的直流电压,从而为整个电路的下步步骤带来了较大的影响。另外,当输入交流电源电压波动、负载和温度变化时,直接影响了输出直流电源稳定。因此,如果设计能够单一化,那么整个电路的误差将会大大的减小,为整个电路带来更精确的结果,是调试现象更加显著。 5.创新点 本次实验的创新点在于变压器,整流桥,稳压器,滤波电容的完美结合和合理使用。

花样流水灯程序(带有Proteus仿真设计图)

基于Proteus的流水灯仿真设计,附三个花样流水灯程序,经检验全部可以完美运行 1. /*用库函数实现流水灯 ********************************************************************* ****/ #include #include /*延时函数 ********************************************************************* ****/ void delayxms(unsigned int xms) { unsigned int i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--);

} /*主函数 ********************************************************************* ****/ void main() { unsigned int k; while(1) { P2=0x01; for(k=0;k<8;k++) { delayxms(200); P2=_crol_(P2,1); //库函数 } } } 2. #include #define uint unsigned int #define uchar unsigned char /*延时函数 ********************************************************************/ void delayxms(uint xms) { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } /*主函数 ********************************************************************/

数字钟设计 proteus仿真

数字钟设计 Digital clock design 1.实验目的 1.掌握数字钟的设计方法。 2.熟悉集成电路的使用方法。 2.实验内容及要求 时钟显示功能,能够以十进制显示“时”、“分”、“秒”。其中时为24进制,分秒为60进。 3.设计思路、芯片选择及单元电路功能简介 1.设计思路: 数字钟的设计可以分为4个单元电路来设计,分别为1Hz脉冲产生电路、数码管显示电路、60进制计数器电路、24进制计数器电路这四个单元电路。 2.芯片的选择: BCD——七段译码器74LS47 十进制可逆计数器74192 555定时器 集成与门芯片74LS11 3.单元电路功能简介: ①1Hz脉冲产生电路:

图1 1Hz脉冲产生电路 该单元电路是用由555定时器构成的多谐振荡器来产生的1HZ方波的电路,其中考虑 到电路的“延时”效应,该电路产生的方波的频率并不是标准的1HZ方波,而是频率稍大于1Hz的方波。它是为整个电路提供时钟源的,它的输出脉冲提供给秒单元电路的低位计数芯片。 ②数码管显示电路: 图2数码管显示电路 该单元电路是用来显示一位数字的电路,它由一块数码管和一块数码管驱动芯片组成,它的输入信号由计数器提供。 ③60进制计数器电路: 图3 60进制计数器电路 该单元电路由两片74LS192可逆计数器芯片、一个三输入与非门和一个非门构成的60进制计数器电路,它是为秒显示和分显示电路提供驱动信号的单元电 路。 ④24进制计数器电 路:

图4 24进制计数器电路 该单元电路是由两片74LS192可逆计数器芯片和一个与门构成的24进制计数器电路,它的低位脉冲信号由分钟计数器单元电路的进位信号提供,它为小时显示电路提供驱动信号。 4.总电路图

基于proteus的数字电子钟的仿真设计

基于p r o t e u s的数字电子钟的仿真设计 文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

课程论文题目:基于Proteus的数字电子钟的设计 与仿真课程名称:单片机系统设计与Proteus仿真 学生姓名:马珂 学生学号: 23 系别:电子工程学院 专业:通信工程 年级: 13级 任课教师:徐锋 电子工程学院 2015年5月 目录 2 4

六、4 基于Proteus的数字电子钟的设计与仿真 一、设计目的与要求 设计目的:通过课程设计,培养学生运用已学知识解决实际问题的能力、查阅资料的能力、自学能力和独立分析问题、解决问题的能力和能通过独立思考。 设计要求:设计一个时、分可调的数字电子钟、开机显示“9-58-00”。 二、设计内容与方案制定 具有校时功能,按键控制电路其中时键、分键两个键分别控制时、分时间的调整。按分键分加1;按时键时加1。 以AT89C51单片机进行实现秒、分、时上的正常显示和进位,其中显示功能由单片机控制共阴极数码管来实现,数码管进行动态显示。 三、设计步骤 1、硬件电路设计 .硬件电路组成框图 .各单元电路及工作原理 (1)晶振电路 单片机的时钟产生方法有两种:内部时钟方式和外部时钟方式。本系统中

AT89C51单片机采用内部时钟方式。采用外接晶体和电容组成的并联谐振回路。其电路图如下: (2)键盘控制电路 键盘可实现对时间的校对,用两个按键来实现。按时键来调节小时的时间,按分键来调节分针的时间。其电路连接图如下: (3)显示电路 LED显示器是现在最常用的显示器之一发光二极管(LED)分段式显示器由7条线段围成8字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光。只要按规律控制各发光段亮、灭,就可以显示各种字形或符号。显示电路显示模块需要实时显示当前的时间,即时、分、秒,因此需要6个数码管,采用动态显示方式显示时间,其硬件连接方式如下图所示。

proteus仿真课程设计

P r o t e u s 仿 真 大 型 作 系部:电子工程系 专业:计算机控制 班级:计控10** 姓名:*** 学号:0502****** 指导老师:马磊娟

`目录 一、项目名称 (1) 二、选题背景 (1) 2.1 课题背景 (1) 2.2 交通灯的历史 (1) 三、单片机简介 (2) 四、设计基本要求和步骤 (3) 4.1 基本要求 (3) 4.2 设计步骤 (4) 五、硬件和软件设计 (5) 5.1 硬件电路图 (5) 5.2 程序流程图 (6) 5.3 程序运行效果图 (10) 六、心得体会 (12) 七、参考文献 (13)

一、项目名称 十字路口交通信号灯控制系统 二、选题背景 2.1 课题背景 由于我国经济的快速发展从而导致了汽车数量的猛增,大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重,其主要表现如下:交通事故频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益加深等。日常的交通堵塞成为人们司空见惯而又不得不忍受的问题,在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。 2.2 交通灯的历史 1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德·哈特设计、制造的灯柱高7米,身上挂着一盏红、绿两色的提灯--煤气交通信号灯,这是城市街道的第一盏信号灯。1914年,在美国的克利夫兰市才率先恢复了红绿灯,不过,这时已是“电气信号灯”。稍后又在纽约和芝加哥等城市,相继重新出现了交通信号灯。

频率计设计 proteus仿真

频率计设计 Frequency count design 1实验目的 1.会运用电子技术课程所学到的理论知识,独立完成设计课题。 2.学会将单元电路组成系统电路的方法。 3.熟悉中规模集成电路和半导体显示器件的使用方法。 4.通过查阅手册和文献资料,培养独立分析和解决实际问题的能力。培养严肃 认真工作作风和严谨的科学发展。 2.实验原理 2.1算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图1所示的算法。图2是根据算法构建的方框图。 图1算法 被测信号输入电路阀门计数电路 阀门电路显示电路

图2算法方框图 在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。该闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差为10 3量级,则要求闸门信号的精度为10 ?量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10?,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍是1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10。 2.2整体方框及原理 图3测量频率原理图 图4测量周期原理图 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的

相关主题