搜档网
当前位置:搜档网 › 武汉理工大学数字电路-频率计课程设计报告

武汉理工大学数字电路-频率计课程设计报告

武汉理工大学数字电路-频率计课程设计报告
武汉理工大学数字电路-频率计课程设计报告

武汉理工大学《数字电子技术基础》课程设计说明书

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:数字频率计的设计与制作

初始条件:

ne555定时器 74LS90 74LS123

要求完成的主要任务:

1.被测信号为矩形脉冲信号,0~4V;

2.显示的频率范围为00~99Hz;

3.测量精度 1Hz;

4.用LED数码管显示频率数值;

5.至少提出三种设计方案,并对其进行比较与选择;

6.撰写课程设计报告书。

时间安排:2012年6月8号10:00-12:00 :理论讲解; 地点:教四615;

2012年6月:理论设计及实验室安装调试;

地点:鉴主15楼通信工程实验室三;

第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。

指导教师签名:年月日

系主任(或责任教师)签名: 2012年月日

武汉理工大学《数字电子技术基础》课程设计说明书

目录

1设计内容及其要求 (5)

2思路分析与方案论证 (2)

2.1待选方案 (5)

2.3最终方案 (5)

3电路参数计算及原理图 (7)

3.1时基电路 (7)

3.2数据锁存和清零电路 (8)

3.3放大整形电路 (8)

3.4计数器的原理图 (9)

3.5锁存器部分原理图 (10)

3.6BCD码8421共阴七段数码管译码驱动器的电路图 (10)

4问题及解决办法 (11)

4.1使用的主要仪器和仪表 (11)

4.2调试电路的方法和技巧 (11)

4.2.1校准时基电路 (11)

4.2.2校准整形电路 (11)

4.2.3测试频率计 (11)

4.3电路性能指标测试结果 (11)

4.4调试中出现的故障、原因及排除方法 (12)

5收获、体会 (12)

参考文献 (13)

附录二:元器件名细表 (15)

武汉理工大学《数字电子技术基础》课程设计说明书

数字式频率计与制作

摘要

在电子技术中,频率是最基本的参数之一,同时频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。在传统的生产制造企业中,在计量实验室中,在无线通讯测试中都需要用到频率计。本次实验的频率计是由ne555产生时基信号,计数器74ls90计数,单稳态触发器74ls123触发锁存数据,七段共阴数码管作为显示部分来显示频率。该设计方案简单、实用、经济,能够测量0~99Hz幅度为0~4V的方波信号的频率,且精度为1Hz。

关键字:频率计时基信号计数器单稳态触发器

1设计内容及要求

设计并制作一个数子频率计要求: 被测信号为矩形脉冲信号,0~4V 显示的频率范围为00~99Hz

测量精度为

用LED 数码管显示频率数值

提出至少两种设计方案,并优选方案进行具体设计 撰写符合学校要求的课程设计说明书

2方案选择

2.1待选方案

2.1.1方案一

方案一的系统框图见图2-1。

图2-1 方案一的系统框图

方案一的电路图见图2-2。

待测频率方波

时基信号

清零信号

锁存信号

闸门电路

计数器

放大电路

锁存器

译码显示

制电路

图2-2 方案一的电路图

方案一的工作原理:首先将信号放大到5V,在将信号连接道闸门,闸门的控制信号是由ne555定时器构成多谐振荡电路产生的矩形波,其正脉冲时间为一秒钟,也就是闸门导通时间为一秒钟,在由于待测频率方波连到计数器的时钟脉冲脚,所以这一秒的时间内计数器对该波形脉冲计数,时间到闸门断开停止计数;同时ne555产生波形将产生一个下降沿,该下降沿驱动第一个单稳态触发器,使其产生一个正脉冲,该正脉冲促使D触发器锁存计数器中的数据;D触发器的数据通过BCD8421译码器译码驱动数码管显示,该显示数据即是所测频率;当第一个单稳态触发器重新回到稳态也就是有高电平跳变为低电平,该下降沿触发第二个单稳态错误!未找到引用源。产生一个低脉冲,该脉冲通过一与非门使计数器清零;如此循环,数码管就一直显示待测方波的频率。

2.1.2方案二

方案二的系统框图见图2-3。

待测频率方波

图2-3 方案二的系统框图

方案二电路图见图2-4。

XTAL2

18

XTAL1

19

ALE 30EA 31PSEN

29

RST

9

P0.0/AD039

P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427P1.0

1

P1.12P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD 17P3.6/WR 16P3.5/T115U1

80C51

X1

CRYSTAL

C1

30pF

C2

30pF

3

2

1

4

11

U2:A

LM324

1

23

U3:A

74LS00

VCC

RV1

10K

U2:A(+IP)

A 7QA 13

B 1QB 12

C 2QC 11D

6QD 10BI/RBO

4QE 9RBI 5QF 15LT

3

QG 14

U4

74LS48

A 7QA 13

B 1QB 12

C 2QC 11D

6QD 10BI/RBO

4QE 9RBI 5QF 15LT

3

QG 14

U5

74LS48

VCC VCC

VCC

R1

10K

C3

10uF

图2-4 方案二的电路图

方案二的工作原理:该方案通过单片机为频率计的核心,由单片机的定时\计

数器产生1s 钟的通过闸门信号,且同时单片机计中的定时\计数器记下该段时间的脉冲,在通过单片机计算将数据送到IO 口,在通过译码器译码驱动显示出相

放大电路

单片机

闸门电路

译码显示

应测试出的频率。

2.2方案比较

方案一:该方案由纯硬件电路搭出的频率计电路,电路复杂,计算复杂,但电路为纯硬件电路不需要编程。

方案二:该方案通过单片编程实现数字频率计的设计,电路简单,但要涉及到单片机编程。

2.3最终方案

由于此次课设为数电课设,且刚学完数电课程,若要选择单片机方案还得学习单片机,鉴于时间有限,所以我们选择方案一。

3模块电路的选择

3.1时基电路

方案一:采用由石英晶体和门电路构成的多谐振荡器来实现,其振荡频率稳定性高,具有非常好的选频特性。但电路的振荡频率仅取决与石英晶体的串联谐振频率fs,而与电路中的R、C值无关,所以其频率无法调节,由于其频率太高,一般都是兆的数量级,需要经过多次分频才能达到秒脉冲的数量级。故不适合用在此处。

方案二:此课程设计中所需要的时钟信号约为1HZ,由于555定时器内部的比较器灵敏度高。输出驱动电流大,功能灵活,且采用差分电路形式,他的震荡频率受电源电压和温度的影响很小。改变R、C的值就能够改变其频率。所以由555定时器构成的多谐振荡器频率稳定且易于调节。

方案三:利用门电路构成多谐振荡器,也可以达到1HZ的时钟信号。门电路构成的多谐振荡器利用RC电路的充放电特性,通过控制时间常数来产生方波时钟信号。但是其稳定度比较低,而且特性与所用门电路的特性直接挂钩,而且输出波形受到电源的影响特别大,不容易控制。

经过分析和对比设计的要求,在所需频率较低,时基脉冲的发生选用方案二。

3.2数据锁存和清零电路

方案一:采用分离的门电路和电阻、电容构成一基本的单稳态触发器。电路可以完成单稳态的功能,但电路复杂耗费的元器件多且稳定性也不好,既不实用有不经济。

方案二:采用Ne555和分离的电容、电阻构成单稳态触发器。电路相对简单且比较经济。

方案三:采用集成的单稳态触发器,电路相当的简单,且稳定比较好。

经过分析和比较,我们选用放案三。

3.3放大整形电路

方案一:采用基本三极管构成的放大电路,实现波形幅值的放大。该方案可轻松实现波形的放大,但是电路相对复杂,由于分离元器件太多电路的稳定行较差,所以后级还得加整形电路。

方案二:采用运放构成同相放大器。该方案简单实用,且运放也广为我们熟悉,但后级还得加整形电路。

方案三:直接采用运放当做比较器,由于待测为方波,同时实现放大整形功能,且电路十分简单。

经过分析和比较,我们选用放案三。

3.4计数器

方案一:采用74ls161集成计数器,计数方便,通过反馈清零法,可轻松实现清零功能。

方案二:采用74ls90二、五、十进制计数器,通过该芯片实现十进制计数十分容易,无需额外的元器件即可。

经过分析和比较,我们选用放案二。

3.5译码器

方案一:采用BCD8421集成共阴七段数码管译码器74ls48,芯片价格昂贵。

方案二:采用BCD8421集成共阴七段数码管译码器cd4511,芯片价格便宜经过分析和比较,我们选用放案二。

4电路参数计算及原理图

4.1时基电路

时基电路是由ne555产生的,其原理图见图4-1。

图4-1 时基电路原理图

根据555构成的多谐振荡器电路的计算公式:

T错误!未找到引用源。=(R V1+R2+R1)C1Ln2

T错误!未找到引用源。=R1CLn2

由于C1的值为已知10uF,可以取R1=39K;同时算出(R V1+R2+R1)=144.3K,若取R2的值为44K,那么可以选R V1为一个100K的电位器。如此可通过调节电位器的值将正脉冲的值确定为精确的1S。

4.2数据锁存和清零电路

数据锁存和清零电路由两个单稳态触发器构成,其原理图见图4-2。

图4-2 数据锁存和清零电路原理图

当ne555产生的一秒钟正脉冲结束时,产生一下降沿触发第一个74LS122单稳态触发器,使其Q端输出一正脉冲,该脉冲的上升沿触发D触发器锁存计数器中的数据,随后该芯片由暂稳态进入稳态,产生一个下降沿触发后一个74LS122使其错误!未找到引用源。端产生一低脉冲,该脉冲为计数器清零脉冲。为保证在555时基波形地低脉冲时间内电路清零完成,所以选取C3=C4=4.7uF,R3=R4 =10K;根据单稳态触发器脉冲宽度计算公式:

错误!未找到引用源。=RCLn2

算出错误!未找到引用源。≈0.033S,显然在该段时间内能够及时清零不影响下一计数测频率。

4.3放大整形电路

放大整形电路的原理图见图4-3。

图4-3 放大整形电路原理图

考虑到待测频率方波的幅值为0~4V所以先把待测波形经过一比较器使其变为幅值5V的方波。此处我们可以选择比较器Lm339,和一个10K的电位器。4.4计数器的原理图

计数器部分的原理图见图4-4。

图4-4 计数器的原理图

考虑到比较适合于十进制计数,我们选用74Ls90二、五、十进制计数器可轻松简单实现十进制计数。

4.5锁存器部分原理图

锁存数据部分原理图见图4-5。

图4-5 锁存器部分原理图

此处我们选择上升沿触发的D触发器74Ls273。

4.6BCD码8421共阴七段数码管译码驱动器的电路图

译码显示部分原理图见图4-6。

图4-6 BCD码8421共阴七段数码管译码驱动器的电路图开始设计时候我们选的是共阴七段数码管BCD译码器74ls48,由于价格相对较昂贵我们最终用的是相对便宜的CD4511。

5问题及解决办法

5.1使用的主要仪器和仪表

本设计所用到的主要仪器和仪表有数字万用表、数字示波器、函数发生器。

5.2调试电路的方法和技巧

5.2.1校准时基电路

时基电路电路图见前面,接通电路电源,用示波器测输出脚的波形图,调节电位器,使正脉冲波形的宽度为一秒钟。调节电位器时一定要细心慢慢的调节,用力适当,切勿用力过猛。

5.2.2校准整形电路

波形校准电路图见前面,接通电路电源,给一待测频率为50Hz幅度为1V的方波,用示波器测试电路的输出波形,同时调节电位器当输出波形为幅度为5V频率为50Hz时。选择其他频率和幅度的方波重复几次,校准完毕。校准过程一定要细心。

5.2.3测试频率计

校准完毕后,就可以测试频率计了,任意给出一个0~99Hz频率0~4V幅值的方波,看频率是否显示正确,改变几次波形频率和幅度看测试频率的变化情况。测试过程中一定要选择比较多的不同频率或不同幅值的方波重复多次测量。5.3电路性能指标测试结果

经过重复多次不同频率不同幅度的方波,频率计能在0~99Hz频率、0~4V幅值范围内测出正确的频率,测量精度为1Hz,且能够用数码管显示出来,频率计达到设计要求。

5.4调试中出现的故障、原因及排除方法

电路连接完成,首次测试频率计时,频率显示值是实际值的好几倍,且随不同的幅度而不同。经过检查分析后,我们认为是波形整形部分比较器的比较电压调的不好,经重新调节后发现电路可以正常测出频率。

6收获、体会和改进设计的建议和展望

通过这次的模拟电路课程设计,让我们学会了如何独立完成一个数字电路系统的设计,这一周多的熬炼让我感觉收获很多。

首先,数字电路是一门理论性很强,很需要经验的一门学科,想要学好它需要付出足够的努力,要多动手才能有收获,课程设计就给了我们一个很好的实践的机会,你需要先查资料,然后设计方案,最后再进行安装调试,使我们能够去真正的来动手独立完成整个过程,这正是我们所欠缺的。

其次,通过安装调试,可以发现理论上可行的电路很有可能调试不出结果,这就需要我们来对电路进行整体的分析,考虑到各个环节的影响,才能发现问题。

再次,通过这次课程设计,我发现同学之间的相互合作能够大大的加快我们设计的过程,许多资料通过同学们之间的资源共享可以达到事半功倍的效果,这就是我们以后肯定要学会的团队合作的精神,一个人的思想不可能面面俱到,相互合作就能弥补这种不足。

最后,我还想对该频率计提出一些改进的建议。我希望该频率计不仅能够测量方波的频率,还能够测量正弦波、三角波、锯齿波的频率,还希望测试频率的范围跟大可以测到上KHz上Mhz的频率。

课程设计是一个提高我们整体水平的好方法,希望我们以后还有更多的机会来做课程设计。

参考文献

[1] 康华光.《电子技术基础数字部分》.武汉:华中科技大学出版社,2006

[2] 祁存荣.《电子技术基础实验(数字电子技术部分)》.武汉理工大学教材中心,2007

[3] 彭介华.《电子技术课程设计指导》[M]. 北京:高等教育出版社,2005

附录一:电路总图

Q 0

Q 7Q 6Q 5Q 4Q 3Q 2Q 1Q0Q1Q2Q3

Q4Q5Q6Q7

R

4

DC

7

Q 3G N D

1

V C C

8

TR

2

TH

6

CV

5

U1

NE555

C1

10uF

R1

39K

R2

44K VCC

0.27S

1S RV1

100K

C2

0.1uF C4

4.7uF

R3

10K R4

10K VCC

VCC

1

2

3

U3:A

74LS00

4

5

6

U3:B

74LS00

R5

10K

C K A

14

Q 0

12

C K B 1Q 19Q 28Q 311R 0(1)

2

R 0(2)3R 9(1)6R 9(2)7U5

74LS90

C K A

14

Q 0

12

C K B 1Q 19Q 28Q 311R 0(1)2

R 0(2)3R 9(1)6R 9(2)7U6

74LS90

VCC VCC

D 0

3

D 14D 27D 38D 413D 514D 617D 718C L K

11

M R 1Q 0

2Q 15Q 26Q 39Q 412Q 515Q 616Q 719U7

74LS273

A 7QA 13

B 1QB 12

C 2QC 11

D 6QD 10BI/RBO

4QE 9RBI 5QF 15LT

3

QG 14

U8

74LS48

A 7QA 13

B 1QB 12

C 2QC 11

D 6QD 10BI/RBO

4QE 9RBI 5QF 15LT

3

QG 14

U9

74LS48

VCC

VCC 3

2

1

4

11U10:A

lm339

V C C

U10:A(+IP)

C3

4.7uF

RV2

10K

CX

10

RX/CX

11

RINT 9

A13A24B 5Q 6Q

1

U2

74121

CX

10

RX/CX

11

RINT 9

A13A24B 5

Q 6

Q

1

U4

74121

附图一 电路总图

附录二:元器件名细表

附表一元器件明细

器件型号数量

ne555 1

74122 2

lm339 1

74ls00 1

74ls90 2

74ls273 1

CD4511 2

七段共阴数码管 2

10uF电解电容 1

0.1uF瓷片电容 1

4.7uF电解电容 2

100k电位器 1

10k电位器 1

39k电阻 1

44k电阻 1

10k电阻 3

按键 1

本科生课程设计成绩评定表姓名性别

专业、班级

课程设计题目:

课程设计答辩或质疑记录:

成绩评定依据:

设计方案和内容

(30分)制作与调试

(30分)

说明书内容

规范程度

(20分)

答辩

(10分)

考勤

(10分)

总分

(100分)

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

武汉理工大学模电课设温度控制系统设计

课程设计任务书 学生姓名:张亚男专业班级:通信1104班 指导教师:李政颖 工作单位:信息工程学院 题目: 温度控制系统的设计 初始条件:TEC半导体制冷器、UA741 运算放大器、LM339N电压比较器、稳压管、LM35温度传感器、继电器 要求完成的主要任务: 一、设计任务:利用温度传感器件、集成运算放大器和Tec(Thermoelectric Cooler, 即半导体致冷器)等设计一个温度控制器。 二、设计要求:(1)控制密闭容器内空气温度 (2)控制容器容积>5cm*5cm*5cm (3)测温和控温范围0℃~室温 (4)控温精度±1℃ 三、发挥部分:测温和控温范围:0℃~(室温+10℃) 时间安排:19周准备课设所需资料,弄清各元件的原理并设计电路。 20周在仿真软件multisim上画出电路图并进行仿真。 21周周五前进行电路的焊接与调试,周五答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

温度控制系统的设计 1.温度控制系统原理电路的设计 (3) 1.1 温度控制系统工作原理总述 (3) 1.2 方案设计 (3) 2.单元电路设计 (4) 2.1 温度信号的采集与转化单元——温度传感器 (4) 2.2 电压信号的处理单元——运算放大器 (5) 2.3 电压值表征温度单元——万用表 (7) 2.4 电压控制单元——迟滞比较器 (8) 2.5 驱动单元——继电器 (10) 2.6 TEC装置 (11) 2.7 整体电路图 (12) 3.电路仿真 (12) 3.1 multisim仿真 (12) 3.2 仿真分析 (14) 4.实物焊接 (15) 5.总结及体会 (16) 6.元件清单 (18) 7.参考文献 (19)

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

课程设计报告(频率计)

设计题目:数字频率计的设计与制作 一、课程设计的主要内容与目的 1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号 发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 2. 设计目的:(1)掌握数字频率计的工作原理 (2)根据课程设计,熟悉一般产品设计的流程和方法。 (3)重点掌握数字频率计设计的计数部分。 二、主要技术指标 1.频率测量范围:10~9999HZ。 2.输入信号波形:任意周期信号,输入电压幅度>300mv. 3.电源:220V,50HZ。 系统框图中各部分的功能及实现方法 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

单片机课程设计-武汉理工大学单片机实训报告

第一章绪论 (2) 1.1概述 (2) 1.2课程设计任务 (2) 第二章硬件系统设计 (3) 2.1单片机最小系统 (3) 2.1.1 STC89C52的介绍 (3) 2.1.2 stc89c52系列单片机最小系统的介绍 (4) 2.2矩阵键盘模块 (5) 2.3数码管显示单元 (5) 2.4 LCD1602液晶显示电路 (6) 2.5蜂鸣器单元 (7) 第三章软件设计 (8) 3.1数码管实验 (8) 3.1.1循环数码管显示0—F程序设计结构图: (8) 3.1.2 59秒倒计数流程图 (9) 3.2 矩阵键盘流程图 (10) 3.3 LCD1602滚动显示年月日时分秒 (11) 3.4 AD转换, (12) 3.5家电遥控器 (13) 第四章调试结果分析 (14) 4.1数码管调试及分析 (14) 4.1.1循环数码管显示0—F (14) 4.1.2数码管59秒倒计数 (15) 4.2矩阵键盘 (15) 4.3 LCD1602滚动显示年月日时分秒 (16) 4.4 AD转换 (16) 4.5家电遥控器 (17) 第五章小结 (17) 参考文献: (18)

第一章绪论 1.1概述 随着我国工业技术和电子技术的发展和进步,自动控制技术也已经得到了极大的普及和应用,而这些自动控制技术的核心技术就是单片微型计算机,简称单片机。它以其高可靠性、高性价比、低电压、低功耗等一系列优点,被广泛应用于控制系统、数据采集等领域。而51单片机系列以其超高的性价比深受广大电子爱好者和开发者以及大学生群体的欢迎。故而本次课程设计采用STC89C52单片机。 1.2课程设计任务 必做项目(这是每个学生必做的任务): 1.基本系统:在51单片机开发系统PCB电路板上完成电子元器件的焊接、调试、程序下载,并实现数码管显示、矩阵键盘扫描、中断程序、定时器程序、串口通讯等基本功能; 2.显示功能:焊接电路并实现对1602液晶屏的显示功能,要求能滚动显示字符; 3.输出控制:焊接电路并实现对继电器的控制功能; 4.数据采集:焊接电路并实现对AD0832的数据采集功能; 选做项目(以下任选一): 1.家电遥控器:实现对红外接收管和发射管的控制功能,要求能够学习遥控器的红外码,并能发射相应的编码,实现红外遥控器的功能。 2.增强显示:实现光魔方的功能,要求搭建不少于8*8*4单元的光魔方,能够动态显示字符。 3.空气质量监测:实现对室外空气PM2.5浓度测量,要求能够实时读取PM2.5模块数据计算浓度,并将结果显示在屏幕中,或者通过无线方式发送到PC机中显示,或者超过设定值启动电机模拟开关窗。 4.入侵在线报警:要求通过采集远红外传感器数据,自动触发相机模块抓拍,照片发至PC或网络中。 5.穿戴式设备控制:对陀螺仪传感器的数据采集和处理,要求能根据采集到的数据计算出传感器加速度值,识别基本动作触发继电器开合,模拟启动外部设备。 6.智能台灯:根据环境光强度自动调节LED亮度,通过光敏元器件采集环境亮度,通过PWM方式控制LED灯亮度。定时自动开灯,设定开灯时间,到时间由暗逐渐变亮。通过门控检测夜晚有人回家时自动开启LED灯等功能。 7.火灾在线探测:采集烟感/异味传感器数据,并进行判断有无火情,继而控制继电器动作同时通过无线发送信息到PC或网络中。 8.智能门磁:设计门磁开关,一端安装门上,另一端安装在门框。读取霍尔传感器输出,确定门开合状态,并在门状态变化时发送提示信息到PC或者网络中。 9.智能窗帘:根据光强变化、夜晚休息、人离开等多种条件自动开闭窗帘。可以设计导轨及电机控制机构。 10.智能信息提示:每天早上自动搜集门户网站头条新闻、当天天气情况等,

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

武汉理工大学混凝土结构设计原理课程设计上课讲义

学号:0121206120102 课程设计 课程:混凝土结构设计原理 学院:土建学院 班级:土木 zy1202 姓名: 学号: 0121206120102 指导老师: 2015年1月18日

目录 一、设计资料 (1) 二、设计荷载 (1) 三、主梁毛截面几何特性计算 (1) 四、预应力钢束面积的估算及钢束布置 (4) 五、主梁截面几何特性计算 (7) 六、截面强度计算 (9) 七、钢束预应力损失估算 (11) 八、预加应力阶段的正截面应力验算 (15) 九、使用阶段的正应力验算 (18) 十、使用阶段的主应力验算 (21) 十一、锚固区局部承压验算 (23) 十二、主梁变形(挠度)计算 (24)

贵州道真高速公路桥梁上部构件设计 一、设计资料 1、初始条件:贵州道真高速公路桥梁基本上都采用标准跨径,上部构造采用装配式后张法预应力混凝土空心板,20 m 空心板、1.25m 板宽,计算跨径19.5m ,预制长度19.96m 。参照《公路钢筋混凝土及预应力混凝土桥涵设计规范》按A类预应力混凝土构件设计此梁。 2、材料:(1)混凝土:C40混凝土,MPa Ec 41025.3?=,抗压强度标准值 MPa f ck 8.26=,抗压强度设计值MPa f cd 4.18=,抗拉强度标准值MPa f tk 40.2=,抗拉强度设计值MPa f td 65.1=。 (2)非预应力钢筋:普通钢筋主筋采用HRB335级钢筋,抗拉设计强度 a sd MP f 280=;箍筋采用R235级钢筋,抗拉设计强度a sd MP f 195=。 (3)预应力钢筋公称直径为15.24mm ,公称面积为140mm2,抗拉标准强度 a pk MP f 1860=,MPa f pd 1260=,弹性模量Ep =1.95×105Mpa ,低松弛级。 二、设计荷载 设计荷载为公路-I 级,结构重要性系数0γ取1.0。荷载组合设计值如下: kN Q 76=跨中m kN M .399=汽m kN M .710=恒m kN M .1395=跨中kN Q j 3720=00=j M m kN M .10254/1= 三、主梁毛截面几何特性计算

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

武汉理工大学水质工程学I课设

1.设计任务及资料 1.1设计原始资料 长垣镇最高日设计用水量为近期5万吨/天,远期10万吨/天,规划建造水厂一座。已知城区地形平坦,地面标高为21.00米;水源采用长江水;取水构筑物远离水厂,布置在厂外。管网最小服务水头为28.00米;二级泵站采用二级供水到管网系统,其中最大一级供水量占全天用水量的百分数为5.00%,时间为早上6:00~晚上10:00,此时管网系统及水厂到管网的输水管的总水头损失为11.00米;另一级供水时管网系统及水厂到管网的输水管的总水头损失为5.00米。常年主导风向:冬季为东北风、夏季为东南风。水厂大门朝向为北偏西15°。 1.2设计任务 1、设计计算说明书1本。 内容包括任务书、目录、正文、参考资料、成绩评定表等,按要求书写或打印并装订成册。 其中正文内容主要包括:工程项目和设计要求概述,方案比较情况,各构筑物及建筑物的形式、设计计算过程、尺寸和结构形式、各构筑物设计计算草图、人员编制、水厂平面高程设计计算和布置情况以及设计中尚存在的问题等。 2、手工绘制自来水厂平面高程布置图1张(1号铅笔图,图框和图签按标准绘制)。要求:比例选择恰当,图纸布局合理,制图规范、内容完整、线条分明,字体采用仿宋字书写。

2. 设计规模及工艺选择 2.1设计规模 根据所提供的已知资料:最高日用水量为近期5万吨/天,远期10万吨/天。 d Q=Q α α为自用水系数,取决于处理工艺、构筑物类型、原水水质及水厂是否设有 回收水设施等因素,一般在1.05-1.10之间,取α =1.07,则水厂生产水量 近期:Q 0=1.07Q d =1.07×50000=53500m 3/d=2229.2m 3/h 远期:Q 0=1.07Q d =1.07×100000=107000 m 3/d=4458.3m 3/h 水处理构筑物的设计,应按原水水质最不利情况时所需供水量进行校核。 2.2水厂工艺流程选择 2.2.1概述 给水处理的任务是通过必要的处理方法去除水中杂质,使之符合生活饮用或工业使用要求的水质。给水处理工艺方法和工艺的选择,应根据原水水质及设计生产生产能力等选择,由于水源不同,水质各异,生活饮用水处理系统的组成和工艺流程也多种多样。 2.2.2水处理流程选择 水处理方法应根据水源水质的要求确定。所给的设计资料中指出,水源采用 长江水,其水质应该较好,采用一般传统的水处理工艺,即:混合、絮凝、沉淀、过滤、消毒。混凝剂采用硫酸铝,设溶解池和溶液池,计量泵投加药剂,管式静态混合器混合。絮凝池采用水平轴机械絮凝池。沉淀池采用平流沉淀池。滤池采用普通快滤池。

相关主题