搜档网
当前位置:搜档网 › 基于VHDL的出租车计费器的设计与研究

基于VHDL的出租车计费器的设计与研究

龙源期刊网 https://www.sodocs.net/doc/c913081934.html,

基于VHDL的出租车计费器的设计与研究作者:杨祖芳曾鹤琼王瑞瑛

来源:《企业技术开发·中旬刊》2013年第10期

摘要:文章利用VHDL语言设计了一种出租车计费器,能够实现计费及显示的功能。采用动态扫描技术分别显示汽车载客时行驶里程、中途停车等待时间及总费用。在Altera公司的QuartusⅡ9.0开发环境下进行了源程序的编译、仿真,下载到FPGA芯片EP1K30TC144-3进行了硬件测试,具有一定的实用价值。

关键词:VHDL;计费器;QuartusⅡ;FPGA

中图分类号:TP312 文献标识码:A 文章编号:1006-8937(2013)29-0007-03

出租车是现代人类的重要交通工具,而出租车的计费系统的安全性和先进性是人们普遍关心的问题,要求计费器性能稳定,计费准确,以及预防司机作弊行为等等都关系到乘客的切身利益。因此,设计出符合人们普遍要求及放心的产品具有重要的意义。采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。基于单片机设计的计费器更新周期长,而且单片机程序是不通用的,不同的单片机芯片有不同的指令集,因此设计研发比较困难。利用VHDL语言设计的基于CPLD/FPGA的出租车计费器不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、设计灵活、易于调试、修改方便。

1 出租车计费器的设计要求

1.1 能够实现计费的功能

费用的计算是按行驶的里程收费,设出租车的起价为5.00元,当里程小于3 km时,按起步价计算费用;当里程大于3 km时每公里按1.3元计费。等待累计时间超过2 min,按每分钟1.5元计费。所以总费用按下式计算:总费用=起费用+(里程-3 km)×里程单价+等候时间×等候单价。

1.2 能够实现显示的功能

显示汽车行驶里程:用两位数字显示,显示方式为“XX”,单位为km。计程范围为0~99 km,计程分辨率为1 km;显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为0~59 min,计时分辨率为1 min;显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为0~999.9元,计价分辨率为0.1元。

2 系统总体设计

相关主题