搜档网
当前位置:搜档网 › 基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计
基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

1 引言

1.1 研究背景

自1897年意大利科学家G.Marconi首次使用无线电波进行信息传输并获得成功后,在一个多世纪的时间中,在飞速发展的计算机和半导体技术的推动下,无线通信的理论和技术不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。

随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。数字信号传输系统分为基带传输系统和频带传输系统。频带传输系统也叫数字调制系统,该系统对基带信号进行调制,使其频谱搬移到适合信道传输的频带上数字调制信号有称为键控信号。在调制的过程中可用键控[1]的方法由基带信号对载频信号的振幅,频率及相位进行调制最基本的方法有三种:正交幅度调制(QAM)、频移键控(FSK)和相移键控(PSK)。

作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。随着当代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用[2]。目前的模拟调制方式有很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。如果产生每一种信号需要一个硬件电路甚至一个模块,那么能产生几种、十几种通信信号的通信机的电路将相当复杂,体积重量将会很大,而且要增加新的调制方式也是十分困难的。在众多调制方式中,四相相移键控(Quadrature Phase Shift Keying,QPSK)信号由于抗干扰能力强而得到了广泛的应用[3], [4],具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通信等领域中[5],并已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式[6]。现场可编程门阵列(Field Programmable Gate Array,FPGA)是20世纪9年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(ElectronDesign Automation EDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的应用前景[7]。FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。FPGA器

件的另一特点是可用硬件描述语言VHDL对其进行灵活编程[8],可利用FPGA厂商提供的软件仿真硬件的功能,使硬件设计如同软件设计一样灵活方便,缩短了系统研发周期。基于上述优点,用FPGA实现调制解调电路,不仅降低了产品成本,减小了设备体积,满足了系统的需要,而且比专用芯片具有更大的灵活性和可控性。在资源允许下,还可以实现多路调制。

数字调制信号又称为键控信号。调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制。最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制)。多进制数字调制与二进制相比,其频谱利用率更高。其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。本课题主要研究了基于FPGA的QPSK调制解调电路的实现方法,并给出了MAX+PLUSII环境下的仿真结果。

1. 2 国内外研究状况及趋势

1.2.1 数字调制解调技术的发展现状

数字信号调制是用基带数字信号控制高频载波,把基带数字信号变换为频带数字信号的过程,数字信号的调制设备包括数字信号处理(编码)单元和调制单元。

图1.1 数字通信调制系统框图

首先将模拟信号数字化,然而数字信号序列进行编码码流是不能或不适合直接通过传输信道进行传输的,必须经过某种处理,使之变成适合在规定信道中传输的形式。在通信原理上,这种处理称为信道编码,一般包括扰码,R-S编码,卷积交织,卷积编码这几部分;有关调制单元的调制类型的分类:

(1)按数据类型数字调制可分为二进制调制和多进制调制两种。

(2)按已调信号的结构形式可分为线性调制和非线性调制两种。

(3)按数字调制方式分为调幅、调频和调相三种基本形式。

数字通信解调设备的构成如图1.2所示,主要包括解调单元、信码再生单元和译码

单元。其中,载波同步和定时同步是解调器的2个核心单元,它们直接决定着解调器的误码性能。

图1.2 数字通信解调系统框图

在传统的数字通信系统中,接收机的解调单元都是用模拟处理方法和器件实现的。其中,共同之处在于使用了模拟滤波器、鉴相器(乘法器)和压控振荡器(VCO)。这种传统的模拟解调单元电路体积大、形式复杂;调试周期长而且受人为因素影响大;器件内部噪声大,易受环境影响,可靠性差;因此,这种传统的接收机不能完全发挥数字通信的优势,不能实现数字信号处理的最佳接收。解调单元的载波同步和定时同步将完全在数字部分完成,而模数转换器的位置决定了接收机的数字化程度。在全数字解调中,几乎所有的模拟解调单元和件都可以对应地找到它的数字化形式,如数字滤波器(FIR或IIR)、全数字乘法器和数控振荡器[9], [10](NCO)等。但全数字解调并不是简单的将模拟解调中的器件全部数字化,它具有以下的特点:

1)电路结构简单,易于调试;

2)可以使用复杂的算法,从而实现最佳的接收;

3)便于计算机辅助设计,实现电子设计自动化(EDA);

4)易于集成和大规模生产,价格低廉。

QPSK是目前应用非常广泛的调制解调技术,目前QPSK调制的实现主要是利用数字电路和专用芯片来完成,通常利用可编程数字电路对基带信号进行码元变换,成形滤波等处理后得到同相分量和正交分量,然后将两路信号分量经过数模转换获得模拟信号送入一个正交相乘器与中频载波调制得到中频QPSK调制信号。该方法适合高码率数字信号的传输,但系统的开放性和灵活性较差。

1.2.2 FPGA的发展概况

FPGA/CPLD、DSP和CPU被称为未来数字电路系统的3块基石,也是目前硬件设计研究的热点[11]。过去的数字信号处理实现中,大多采用ASIC和DSP,但这类器件都有一定的缺陷。ASIC处理速度快,但开发成本高,而且内部功能不可改变,这样系统的可重构性差;DSP可以通过更改软件来改变其功能,其重构性好,但它的处理速度慢,逐渐跟不上越来越高的信号处理速度的要求。

20世纪90年代以来,微电子技术以惊人的速度发展,其工艺水平达到深亚微米级,在一个芯片上可集成数百万乃至上千万只晶体管。这为制造出规模更大,速度更快和信息容量更大的芯片系统提供了条件,促进了电子设计自动化(EDA)技术的发展。FPGA的出现就是超大规模数字集成电路技术和计算机辅助设计技术发展的结果[7]。与传统的设计方法相比,FPGA具有功能强大,开发过程投资小、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,正好充分发挥了软件无线电可编程能力强,易于升级的特点,用FPGA取代或部分取代专用ASIC芯片可提高灵活性。FPGA允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直到达到预期的结果。采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,同时还可以很方便地对设计进行在线修改,它成为研制开发的理想器件之一。FPGA可以看作是介于ASIC和DSP之间的一种实现手段,它既具有ASIC 的高速处理能力,又拥有很好的可重构性能,而且开发成本低,开发周期短,优势十分明显[12]。虽然FPGA的思路来源于门阵列,但它与门阵列PLD不同,其内部由许多独立的可编程逻辑模块(CLB)组成,逻辑块之间可以灵活地相互连接。FPGA的结构一般分为三部分:可编程逻辑块、可编程I/O模块和可编程内部连线,现场可编程是指用户在自己的工作室内编程。世界上主要的FPGA生产商是美国Altera公司和Xilinx公司,总共占据了全球市场份额的60%以上。当前,Altera公司的主流产品为大规模的Stratix系列和中规模、低成本、高性价比的Cyclone系列,并分别推出了两者的下一代产品StratixⅡ和CycloneⅡ。

近年来,FPGA工艺发展很快,FPGA的工作时钟频率也不断增高,使芯片的处理能力增强。随着大规模可编程逻辑器件的发展,系统设计进入“片上可编程系统(SOPC)”的新纪元,越来越多的新型FPGA内嵌CPU或者DSP内核,支持软硬件协

同设计;芯片朝着高密度、低压、低功耗方向挺进;国际各大公司都在积极扩充其IP库,以优化的资源更好地满足用户的需求,扩大市场。基于这样的发展,FPGA 己经成为实现软件无线电数字信号处理的一种非常有效的选择。其内部结构可以实现高速的数据处理过程,而它灵活的可重构性能保证系统能够实现在线重构,使系统具有高度的灵活性,当设备需要增加新的无线接口时,不需要增加新的FPGA芯片,而只需将现有FPGA的内部逻辑重构就可以了,这样就降低了设备的成本,缩短了开发周期,正是因为它的这些优点,FPGA在无线电技术的研究和设备开发中正在发挥越来越重要的作用。

1. 3 课题研究的意义和主要工作

数字字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA 的结合是现代通信系统发展的一个必然趋势。在数宁信号的频带传输中,最重要的技术就是调制解调技术。数字调制是数字符号转换成与信道特性相匹配的波形的过程。数字信号对载波的调制与模拟信号对载波的调制类似。它同样足用输入的数字信号控制(键控)载波的幅度、频率和相位,因而有三种基本调制技术:幅移键控ASK(Ampl itude-Shift Keying)、频移键控FSK(Frequency-Shift Keying)、相移键控PSK(Phase-Shift Keying)。随着技术的发展又演变出多种多样的数字调制技术。相移键控是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。由于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率高,所以,在中、高速数字通信中被广泛应用。文中介绍了通信系统的组成、QPSK调制解调原理,并基于FPGA实现了QPSK调制电路。并给出了MAX+PLUSII环境下的仿真结果与Systemview的仿真结果。仿真结果表明了该设计的正确性。

本课题的主要研究工作包括以下四方面:

(1)了解数字调制解调的基本原理

(2)学习数字QPSK的特点、解调原理、数学模型;学习VHDL语言

(3)了解数字QPSK的发展状况及现实中应用;了解FPGA的内部硬件结构(4)用Systemview对QPSK的调制解调进行仿真

2 通信系统的组成

2.1 通信系统的模型

通信的目的是传输消息。消息具有不同的形式,例如:符号、文字、话音、音乐、数据、图片、活动图像等等。因而,根据所传递消息的不同,目前通信业务可分为电报、电话、传真、数据传输即可视电话等。如果从广义的角度看,则广播、电视、雷达、导航、遥测、遥控等也可以列入通信范畴。

当然,数字信号也可以在模拟通信系统中传输,如计算机数据可以通过模拟电话线路传输,但这时必须使用调制解调器(Modem)将数字基带信号进行正弦调制,以适应模拟信道的传输特性。可见,模拟通信与数字通信的区别仅在于信道中传输的信号种类。

发送设备的基本功能是将信源和信道匹配起来,即将信源产生的消息信号变换成适合在信道中传输的信号。变换方式是多种多样的,在需要频谱搬移的场合,调制是最常见的变换方式。对数字通信系统来说,发送设备常常又可分为信源编码与信道编码。信道是指传输信号的物理媒质。在无线信道中,信道可以是大气(自由空间),在有线信道中,信道可以是明线、电缆或光纤。有线和无线信道均有多种物理媒质。媒质的固有特性及引入的干扰与噪声直接关系到通信的质量。根据研究对象的不同,需要对实际的物理媒质建立不同的数学模型,以反映传输媒质对信号的影响。

噪声源不是人为加入的设备,而是通信系统中各种设备以及信道中所固有的,并且是人们所不希望的。噪声的来源是多样的,它可分为内部噪声和外部噪声,而且外部噪声往往是从信道引入的。因此,为了分析方便,把噪声源视为各处噪声的集中表现而抽象加入到信道。

接收设备的基本功能是完成发送设备的反变换,即进行解调、译码、解码等。它的任务是从带有干扰的接收信号中正确恢复出相应的原始基带信号来,对于多路复用信号,还包括解除多路复用,实现正确分路。

信宿是传输信息的归宿点,其作用是将复原的原始信号转换成相应的消息[13]。图2.1概括地描述了一个通信系统的组成,它反映了通信系统的共性,因此称之为通信系统的一般模型。根据研究的对象以及所关注的问题不同,图2.1模型中的各

小方框的内容和作用将有所不同,因而相应有不同形式的更具体的通信模型。

图2.1 通信系统的一般模型

信息源(简称信源)的作用是把各种消息转换成原始电信号。根据消息的种类不同,心愿可分为模拟信源和数字信源。模拟信源输出连续的模拟信号,如话筒、摄像机;数字信源则输出离散的数字信号,如电传机、计算机等各种数字终端。并且模拟信源送出的信号经数字化处理后也可送出数字信号。

发送设备的作用是产生适合于在信道中传输的信号,即使发送信号的特性和信道特性相匹配,具有抗信道干扰的能力,并且具有足够的功率以满足远距离传输的需要。因此,发送设备涵盖的内容很多,可能包含变换、放大、滤波、编码、调制等过程。对于多路传输系统,发送设备还包括多路复用器。

信道是一种物理媒质,用来将来自发送设备的信号传送到接收端。在无线信道中,信道可以是自由空间:在有线信道中,可以是明线、电缆和光纤。有线信道和无线信道均有多种物理媒质。信道既给信号以通路,也会对信号产生各种干扰和噪声。信道的固有特性及引入的干扰和噪声直接关系到通信的质量。

接收设备的功能是将信号放大和反变换(如译码、解调),其目的是从受到减损的接收信号中正确恢复出原始电信号。对于多路复用信号,接收设备中还包括解除多路复用,实现正确分路的功能。此外,它还要尽可能减小在传输过程中噪声与干扰所带来的影响。

受信者(简称信宿)是传送消息的目的地,其功能与信源相反,即把原始电信号还原成相应的信息,如扬声器等。

图2.1中,信源发出的消息虽然有多种形式,但可分为两大类:一类称为连续消息;另一类称为离散消息。连续消息是指消息的状态连续变化或是不可数的,如语音、活动图片等。离散消息则是指消息的状态是可数的或离散的,如符号、数据

等。

消息的传递是通过它的物质载体—电信号来实现的,即把消息寄托在电信号的某一参量上(如连续波的幅度、频率或相位;脉冲波的幅度、宽度或位置)。按信号参量的取值方式不同可把信号分为两类,即模拟信号和数字信号。

凡信号参量的取值是连续的或取无穷多个值的,且直接与消息相对应的信号,均称为模拟信号,如电话机送出的语音信号、电视摄像机输出的图像信号等。模拟信号有时也称连续信号,这个连续是指信号的某一参量可以连续变化,或者说在某一取值范围内可以取无穷多个值,而不一定在时间上也连续,如图2.2(b)所示的抽样信号。

凡信号参量只能取有限个值,并且常常不直接与消息相对应的信号,均称为数字信号,如电报信号、计算机输入/输出信号、PCM信号等。数字信号有时也称离散信号,这个离散是指信号的某一参量是离散变化的,而不一定在时间上也离散,如图2.3(b)所示的2PSK信号。

图2.2 模拟信号波形

图 2.3 数字信号波形

①连续信号;②抽样信号

①二进制波形;②2PSK波形

因此,按照信道中传输的是模拟信号还是数字信号,可相应地把通信系统分为模拟通信系统和数字通信系统。

模拟通信系统是利用模拟信号来传递信息的通信系统。我们知道,信源发出的原始电信号是基带信号,基带的含义是指信号的频谱从零频附近开始,如语音信号为300-3400Hz,图像信号为0-6MHz。由于这种信号具有频率很低的频谱分量,一般不宜直接传输,这就需要把基带信号变换成其频带适合在信道中传输的信号,并可在接收端进行反变换。完成这种变换和反变换作用的通常是调制器和解调器。经过调制以后的信号称为已调信号。已调信号有三个基本特征:

一是携带有信息,二是适合在信道中传输,三是信号的频谱具有带通形式且中心频率远离零频,因而已调信号又称频带信号。

需要指出,消息从发送端到接收端的传递过程中,不仅仅只有连续消息与基带信号和基带信号与频带信号之间的两种变换,实际通信系统中可能还有滤波、放大、天线辐射、控制等过程。由于调制与解调两种变换对信号的变化起决定性作用,而其他过程对信号不会发生质的变化,只是对信号进行了放大或改善了信号特性,因而被认为是理想的而不予讨论。

模拟通信系统模型可由图2.1略加演变而成。

2.2 数字通信系统模型

数字通信系统是利用数字信号来传递信息的通信系统,如图2.4所示。数字通信涉及的技术问题很多,其中主要有信源编码/译码、信道编码/译码、数字调制/

解调、数字复接、同步以及加密等。

信源编码的作用之一是设法减少码元数目和降低码元速率,即通常所说的数据压缩。码元速率将直接影响传输所占的带宽,而传输带宽又直接反映了通信的有效性。作用之二是,当信息源给出的是模拟语音信号时,信源编码器将其转换成数字信号,以实现模拟信号的数字化传输。

数字信号在信道传输时,由于噪声、衰落以及人为干扰等,将会引起差错。为了减少差错,信道编码器对传输的信息码元按一定的规则加入保护成分(监督元),组成所谓“抗干扰编码”。接收端的信道译码器按一定规则进行解码,从解码过程中发现错误或纠正错误,从而提高通信系统抗干扰能力,实现可靠通信。

在需要实现保密通信的场合,为了保证所传信息的安全,人为将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密。在接收端利用与发送端相同的密码复制品对收到的数字序列进行解密,恢复原来信息,叫解密。

数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的频带信号。基本的数字调制方式有振幅键控ASK、频移键控FSK、绝对相移键控PSK、相对(差分)相移键控DPSK。对这些信号可以采用相干解调或非相干解调还原为数字基带信号。对高斯噪声下的信号检测,一般用相关器接收机或匹配滤波器实现。

同步是保证数字通信系统有序、准确、可靠工作的不可缺少的前提条件。同步是使收、发两端的信号在时间上保持步调一致。按照同步的功用不同,可分为载波同步、位同步、群同步和网同步。

数字复接就是依据时分复用基本原理把若干个低速数字信号合并成一个高速的数字信号,以扩大传输容量和提高传输效率。

需要说明的是,图2.4是数字通信系统的一般化模型,实际的数字通信系统不一定包括图2.4中的所有环节。

图2.4 数字通信系统模型

当然,数字信号也可以在模拟通信系统中传输,如计算机数据可以通过模拟电话线路传输,但这时必须使用调制解调器(Modem)将数字基带信号进行正弦调制,以适应模拟信道的传输特性。可见,模拟通信与数字通信的区别仅在于信道中传输的信号种类[14]。

2.3 数字通信的特点

与模拟通信相比,数字通信具有以下一些优点:

(1)抗干扰能力强,且噪声不积累。数字通信系统中传输的是离散取值的数字波形,接收端的目标不是精确地还原被传输的波形,而是从受到噪声干扰的信号中判决出发送端所发送的是哪一个波形。以二进制为例,信号的取值只有两个,这时要求在接收端能正确判决发送的是两个状态中的哪一个即可。在远距离传输时,如微波中继通信,各中继站可利用数字通信特有的抽样判决再生的接受方式,使数字信号再发生且噪声不积累。而模拟通信系统中传输的是连续变化的模拟信号,它要求接收机能够高度保真地重现原信号波形,一旦信号叠加上噪声后,即使噪声很小,也很难消除它。

(2)传输差错可控。在数字通信系统中,可通过信道编码技术进行检错与纠错,降低误码率,提高传输质量。

(3)便于用现代数字信号处理技术对数字信息进行处理、变换、存储。这种数字处理的灵活性表现为可以将来自不同信源的信号综合到一起传输。

(4)易于集成,使通信设备微型化,重量轻。

(5)易于加密处理,且保密性好。

数字通信的缺点是,一般需要较大的传输带宽。另外,由于数字通信对同步要

求高,因而系统设备复杂[15]。

2.4 数字基带信号

信源发出的没有经过调制的原始电信号所固有的频带,称为基本频带,简称基带。

现代通信借助于电和光来传输信息,数字终端产生的数字信息是以“1”和“0”两种代码(状态)位代表的随机序列,他可以用不同形式的电信号表示,从而构造不同形式的数字信号。在一般的数字通信系统中首先将消息变为数字基带信号,称为信源编码,经过调制后进行传输,在接收端先进行解调恢复为基带信号,再进行解码转换为消息。

在实际的基带传输系统中,并不是所有电波均能在信道中传输,因此有基带信号的选择问题,因此对码型的设计和选择需要符合一定的原则。当数字信号进行长距离传输时,高频分量的衰减随距离的增大而增大,电缆中线对之间的电磁辐射也随着频率的增高而加剧,从而限制信号的传输距离和传输质量,同时信道中往往还存在隔直流电容和耦合变压器,他们不能传输直流分量及对低频分量有较大的衰减,因此对于一般信道高频和低频部分均是受限的。

对于这样的信道,应使线路传输码型的频谱不含直流分量,并且只有很少的低频分量和高频分量。其次,传输码型中应含有定时时钟信息,以利于收端定时时钟的提取,在基带传输系统中,定时信息是在接收端再生原始信息所必需的。

一般传输系统中,为了节省频带是不传输定时信息的,必须在接受端从相应的基带信号中加以提取。再次,实际传输系统常希望在不中断通信的前提下,能监视误码,如果传输码型有一定的规律性,那么就可以根据这一规律性来检测传输质量,以便做到自动监测,因此,传输码型应具有一定的误码检测能力。当然,对传输码型的选择还需要编码和解码设备尽量简单等要求,但以上的几点是最主要的考虑因素。在基带传输系统中,一系列的基带信号波形被变换成相应的发送基带波形后,就被送入信道。

信号通过信道传输,一方面受到信道特性的影响,使信号产生畸变;令一方面信号被信道中的加性噪声所叠加,造成信号的随机畸变。因此,到达接收端的基带脉冲信号发生了畸变。为此,在接收端首先安排一个接收滤波器,使噪声尽量得到抑制,而使信号顺利通过。然而,在接收滤波器的输出信号里,总还是存在畸变信

号和混有噪声的。因此,为提高接收系统的可靠性,通常在接收滤波器的输出端安排一个识别电路,通常的识别电路是抽样判别器,它是在每一接收基带波形的中心附近,对信号进行抽样,然后将抽样值与判决门限进行比较。若抽样值大于门限,则判为“高”电平。这样就获得一系列新的基带波形—再生的基带信号。

所谓数字基带信号,就是消息代码的电脉冲表示―电波形。在实际基带传输系统中,并非所有的原始数字基带信号都能在信道中传输,例如,含有丰富直流和低频成分的基带信号就不适宜在信道中传输,因为它有可能造成信号严重畸变;再如,一般基带传输系统都是从接收到的基带信号中提取位同步信号,而位同步信号却又依赖于代码的码型,如果代码出现长时间的连“0” 符号,则基带信号可能会长时间出现0 电位,从而使位同步恢复系统难以保证位同步信号的准确性。实际的基带传输系统还可能提出其它要求,从而导致对基带信号也存在各种可能的要求。归纳起来,对传输用的基带信号的要求主要有两点:

(1)对各种代码的要求,期望将原始信息符号编制成适合于传输用的码型;

(2)对所选的码型的电波形的要求,期望电波形适宜于在信道中传输。前一问题称为传输码型的选择,后一问题称为基带脉冲的选择。这是两个既彼此独立又相互联系的问题,也是基带传输原理中十分重要的两个问题。

传输码(常称为线路码)的结构将取决于实际信道的特性和系统工作的条件。概括起来,在设计数字基带信号码型时应考虑以下原则:

(1)码型中应不含直流分量,低频分量尽量少。

(2)码型中高频分量尽量少。这样既可以节省传输频带,提高信道的频带利用率,还可以减少串扰。串扰是指同一电缆内不同线对之间的相互干扰,基带信号的高频分量越大,则对邻近线对产生的干扰就越严重。

(3)码型中应包含定时信息。

(4)码型具有一定检错能力。若传输码型有一定的规律性,则就可根据这一规律性来检测传输质量,以便做到自动监测。

(5)编码方案对发送消息类型不应有任何限制,即能适用于信源变化。这种与信源的统计特性无关的性质称为对信源具有透明性。

(6)低误码增殖。对于某些基带传输码型,信道中产生的单个误码会扰乱一段译码过程,从而导致译码输出信息中出现多个错误,这种现象称为误码增殖。

(7)高的编码效率。

(8)编译码设备应尽量简单。

上述各项原则并不是任何基带传输码型均能完全满足,往往是依照实际要求满足其中若干项[16]。

3 QPSK 调制解调的原理

3.1 相移键控系统概述

相移键控是目前扩频系统中大量使用的调制方式,也是和扩频技术结合最成熟的调制技术,原则上看是一种线性调制。从基带变换到中频以及射频,中间的频谱搬移和信号放大需要一个要求较高的线性信道,因而,设计要求较高。

相移键控系统中,有待传输的基带数字脉冲控制着载波相位的变化,从而形成振幅与频率不变,而相位取离散值变化的已调波。

3.1.1 二进制相移键控

对于二进制相移键控BPSK (Binary Phase Shift Keying )来说,就是二进制的数字信号0和1分别用载波的0和π来表示。其表达式由公式(2.1)给出:

()Wct nTs t Ang t S n cos )(??

????-=∑ (2.1) 式中,An 为二进制数字,

?

??--+=P 11P 1概率为概率为An (2.2) 3.1.2 四相相移键控

四相相移键控QPSK 是MPSK [17]的一种特殊情况。它是利用载波四个不同的相位来表征数字信息的调制方式。因此,对于输入的二进制数字序列应该先进行分组,将每两个比特编为一组;然后用4种不同的载波相位去表征它们。例如,若输入二进制数字信息序列为lO1lOlOO ,则可将它们分成10,1l ,01,00,然后用4种不同的相位来分别表示它们。由于每一种载波相位代表2个比特信息。故每个四进制码元又被称为双比特码元。

由于四相绝对移相调制可以看作两个正交的二相绝对移相调制的合成,故QPSK 信号的产生方法采用相位选择法,如图3.1所示[18]。

图3.1 相位选择法的组成方框图

由图3.1可知,四相载波发生器分别送出调相所需的4种不同相位的载波,即数字载波信号。按照串/并变换器输出双比特码元的不同,逻辑选相电路输出相应相位的载波。双比特码元ab为00时,输出相位为0°的载波;ab为01时,输出相位为90°的载波;ab为10时,输出相位为180°的载波;ab为11时,输出相位为270°的载波。根据MPSK调制原理,设计模型如图3.2所示[19]。电路主要由分频器和四选-开关等组成,分频器对外部时钟信号进行分频和计数,并输出4路频率相同而相位不同的相干数字载波信号;四选一开关是在基带信号的控制下,对4路载波信号进行选通,输出数字QPSK信号。但这还不是真正的QPSK信号,需要在FPGA器件外部加一个D/A变换器,将输出转换为模拟信号。

图3.2 MPSK调制方框图

如图3.2所示,输入时钟信号clk及使能信号start,当start为高电平时才进行QPSK调制,输入基带信号X为0 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 0进行串/并变换。基带信号x由一路信号变为两路并行信号,变换后分别为a

信号和b 信号,则ab 信号构成两位并行信号yy ,变换后的yy 值如表1.1所示。

表1.1 串/并变换

时钟信号进入八分频计数器q 进行分频得到4种不同相位的载波。载波相位为0°、90°、180°、270°的4种载波,载波波形如表1.2所示。

表1.2 调制信号说明

四选一开关根据信号yy 值,选择载波对应相位进行输出,可得到已调信号Y 。如表3-2所示,当yy 值为“0”,选择输出对应的载波f3;当yy 值为“1”,选择输出对应的载波f2;当yy 值为“2”,选择输出3对应的载波fl ;当yy 值为“3”,选择输出对应的载波f0,即最终选择输出的载波波形就构成r 调制信号Y 。当start 为高电平时,进行调制,仿真结果如图3所示,选择相位分别为0°,180°,180°,270°,0°,90°。

QPSK 信号可以表示为

)cos(])([)(n Wct nTs t g t S n

?+-=∑ (2.3)

式中,Wc 是载波的角频率,n ?是第k 个码元的载波相位取值,Ts 是一个发送码元的持续时间,它将取可能的四种相位之一,g(t)是发送码元的波形函数。n ?是可以取区间(0,2π)任何离散值的随机变量,可取的个数由调制方式的进制来决定。在QPSK 调制系统中,发送端可取的相位值为四个。

将上式展开,得到:

Wct n nTs t g Wct n nTs t g t S n n sin sin )(cos cos )()(??

????--??????-=∑∑?? (2.4)

令n

sin

Yn?

=,则两者的取值为随机的离散值,和选定的相位有Xn?

cos

=,n

关,在星座图的映射中对应同相和正交分量,反映其在映射图中的矢量位置。

对于四种相位的选择,存在π/2体系和π/4体系。π/2体系对应n=0,π/2,π,3π/2四个离散值。π/4体系对应n=π/4,3π/4,5π/4,7π/4四个离散值。

从式(2.4)可以看出,四相调制的波形,可以看成是对两个正交载波进行二进制幅度调制的信号之和。从Xn和Yn的取值,容易发现两者具有一定的矢量约束关系,保证两者合成的矢量点在落在同一圆周上。这个关系意味着,系统的非线形

失真对QPSK系统的可靠性影响很小。

3.2 QPSK调制原理

QPSK信号有00、01、10、11四种状态。所以.对输人的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK 信号实际上是两路正交双边带信号,可由图3.1所示方法产生。

QPSK调制器可以看成由两个BPSK调制器构成。输入的串行二进制序列经过串并转换后,分成两路速率减半的序列,然后经过极性转换后变成两路双极性二电平信号I (t)和Q (t),然后跟cos2πf t和sin 2πf t相乘进行调制,相加后即得到QPSK信号。如图3.1所示:

图3.3 QPSK调制过程

由图3.1,可以看出,QPSK是由两路BPSK信一号构成,且两路信号相互正交的,即相位差相差90°,两路BPSK信号相加,即得到QPSK信号。图3.3是比较常用的QPSK调制方式。

3.3 QPSK 解调原理

在QPSK 解调中,常采用相干解调[18] [12] [21] [22],其原理框图见下图所示:

图3.4 QPSK 解调过程

相干解调中,正交路和同相路分别设置两个相关器(或匹配滤波器),得到I(t) 和Q(t),经电平判决和并一串变换后即可恢复原始信息。当然,如果调制端是差分编码的,那么解调中并串变换后还需一个差分解码。

假如已调信号为Wct t Q Wct t I t S sin )(cos )()(+=,)(t I 、)(t Q 分别为同相路和正交路,Wc 为载波频率。那么相干解调后,同相路相乘可得:

[]W ct W ct t Q W ct t I W ct t S t Ii cos sin )(cos )(cos )()(?+==

=Wct Wct t Q Wct Wct t I cos sin )(cos cos )(?+? =2

)(22cos )(22sin )(t I Wct t I Wct t Q ++ (2.5) 正交路为:[]W ct W ct t Q W ct t I W ct t S t Qq sin sin )(cos )(sin )()(?+==

=Wct Wct t Q Wct Wct t I sin sin )(cos sin )(?+? =2

)(22cos )(22sin )(t Q Wct t Q Wct t I +- (2.6) 经过低通滤波后,可得:

???

????==2)

()(2)()(t Q t Qq t I t Ii (2.7)

经过判决电路后,由上式,可得到如下表1.3所示结果(同相路和正交路是经过极性转换的,1对应于二进制数据1,-1对应于二进制数据0)

表1.3 I 、Q 路的判决

解调过程中涉及到信号的采样、数字下变频、载波同步、位同步等关键技术。 信号的采样是模拟信号与数字信号之间的一个通道,是数字化解调过程中一个及其关键的步骤。

数字下变频DDC(Digital Down Converter)是随着数字信号处理技术的发展而 出现的,目前大量使用在数字中频技术中,它的根本任务就是实现数字中频到基带信号的变换。数字下变频的组成与模拟下变频器类似,包括数字混频器、数字控制振荡器(NCO )和低通滤波器(LPF )三部分组成。影响数字下变频器性能的主要因素有两个:一是表示数字本振、输入信号以及混频乘法运算的样本数值的有限字长所引起的误差;二是数字本振相位分辨率不够而引起数字本振样本数值的近似取值。也就是说,数字混频器和数字本振的数据位数不够宽,存在尾数截断的情况;数字本振相位的样本值存在近似的情况。它主要涉及数控振荡器NCO ,抽取滤波器(即积分-清洗滤波器)等技术。

在数字通信系统中,解调器的任务是恢复出传输来的原始数据系列。解调器 的构成方案通常可以分为两类:同步解调和异步解调。两者的区别在于,同步解 调需要一个相干同步的本地载波。一般地说,同步解调性能较为优良。但是,对 于抑制载波分量的调制信号来说,要从接收的信号中恢复出参考载波,必须进行

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

QPSK调制解调完整程序(配有自己的注释)

QPSK调制解调完整程序(配有注释) clc; clear all; %假定接收端已经实现载波同步,位同步(盲信号解调重点要解决的问题:载波同步(costas环(未见到相关代码)),位同步(Gardner算法(未见相关代码)),帧同步) % carrier frequency for modulation and demodulation fc=5e6; %QPSK transmitter data=5000 ; %码数率为5MHZ %原码个数 rand_data=randn(1,5000); for i=1:data if rand_data(i)>=0.5 rand_data(i)=1; else rand_data(i)=0; end end %seriel to parallel %同时单极性码转为双极性码 for i=1:data if rem(i,2)==1 if rand_data(i)==1 I(i)=1; I(i+1)=1; else I(i)=-1; I(i+1)=-1; end else if rand_data(i)==1 Q(i-1)=1; Q(i)=1; else Q(i-1)=-1; Q(i)=-1; end end end % zero insertion ,此过程称为成形。成形的意思就是实现由消息到波形的转换,以便发射,脉冲成形应该是在基带调制之后。 zero=5; %sampling rate 25M HZ ,明白了,zero为过采样率。它等于采样率fs/码速率。

for i=1:zero*data % 采样点数目=过采样率*原码数目 if rem(i,zero)==1 Izero(i)=I(fix((i-1)/zero)+1); Qzero(i)=Q(fix((i-1)/zero)+1); else Izero(i)=0; Qzero(i)=0; end end %pulse shape filter,接着,将进行低通滤波,因为随着传输速率的增大,基带脉冲的频谱将变宽 %如果不滤波(如升余弦滤波)进行低通滤波,后面加载频的时候可能会出现困难。 %平方根升余弦滤波器 % psf=rcosfir(rf,n_t,rate,fs,'sqrt') rate:过采样率,rf:滚降因子,n_t:滤波器阶数,fs:采样率 %用在调制或发送之前,用在解调或接受之后,用来降低过采样符号流带宽并不引发ISI(码间串扰) NT=50; N=2*zero*NT; % =500 fs=25e6; rf=0.1; psf=rcosfir(rf,NT,zero,fs,'sqrt');% psf大小为500 Ipulse=conv(Izero,psf); Qpulse=conv(Qzero,psf); %为什么数字信号传输也要过采样,成形滤波? %答:过采样的数字信号处理起来对低通滤波器的要求相对较低,如果不过采样,滤波的时候滤波器需要很陡峭,指标会很严格 %成形滤波的作用是保证采样点不失真。如果没有它,那信号在经过带限信道后,眼图张不开,ISI非常严重。成形滤波的位置在基带调制之后。 %因为经成形滤波后,信号的信息已经有所损失,这也是为避免ISI付出的代价。换句话说,成形滤波的位置在载波调制之前,仅挨着载波调制。 %即:(发送端)插值(采样)-成形-滤波(LPF)-加载频(载波调制)-加噪声至(接收端)乘本振-低通-定时抽取-判决。 %modulation for i=1:zero*data+N %采样点数目改变(因为卷积的缘故) t(i)=(i-1)/(fs); %这里因为假设载频与码速率大小相等,所以用载频fc 乘以过采样率=采样率。 Imod(i)=Ipulse(i)*sqrt(2)*cos(2*pi*fc*t(i)); Qmod(i)=Qpulse(i)*(-sqrt(2)*sin(2*pi*fc*t(i))); end sum=Imod+Qmod;

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

QPSK调制解调的simulink仿真

QPSK 调制解调的simulink 仿真与性能分析 一、 设计目的和意义 学会使用MATLAB 中的simulink 仿真软件,了解其各种模块的功能,用simulink 实现QPSK 的调制和仿真过程,得到调制信号经高斯白噪声信道,再通过解调恢复原始信号,绘制出调制前后的频谱图,分析QPSK 在高斯信道中的性能,计算传输过程中的误码率。通过此次设计,在仿真中形象的感受到QPSK 的调制和解调过程,有利于深入了解QPSK 的原理。同时掌握了simulink 的使用,增强了我们学习通信的兴趣,培养通信系统的仿真建模能力。 二、 设计原理 (一)QPSK 星座图 QPSK 是Quadrature Phase Shift Keying 的简称,意为正交移相键控,是数字调制的 一种方式。它规定了四种载波相位,分别为0, 2π, π,32π (或者4 π,34π,54π,74π),星座图如图1(a )、(b )所示。 图1 QPSK 星座图 (二)QPSK 的调制 因为输入信息是二进制序列,所以需要将二进制数据变换成四进制数据,才能和四进制的载波相位配合起来。采取的办法是将二进制数字序列中每两个序列分成一组,共四种组合(00,01,10,11),每一组称为双比特码元。每一个双比特码元是由两位二进制 (a ) (b )

信息比特组成,它们分别代表四进制四个符号中的一个符号。QPSK 每次调制可传输两个信息比特。图2的(a )、(b)、(c)原理框图即为QPSK 的三种调制方式,本次课程设计主要采用的是正交调制方式。 (三)QPSK 的解调 QPSK 信号可以用两个正交的载波信号实现相干解调,它的相干解调器如图3所示,正交路分别设置两个匹配滤波器,得到I (t )和Q (t ),经电平判决和并转串即可恢复出原始信息。 (a )正交调制法 (b )相位选择法 (c )脉冲插入法 图2 QPSK 的主要调制方式

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

通信原理实验 QPSK调制解调实验

HUNAN UNIVERSITY 课程实验报告 题目:十QPSK调制解调实验 指导教师: 学生姓名: 学生学号: 专业班级:

实验10 QPSK调制解调实验 一、实验目的 1. 掌握QPSK调制解调的工作原理及性能要求;了解IQ调制解调原理及特性 2. 进行QPSK调制、解调实验,掌握电路调整测试方法了解载波在QPSK相干及非相干时的解调特性 二、实验原理 1、QPSK调制原理 QPSK又叫四相绝对相移调制,它是一种正交相移键控。QPSK利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表两个比特信息,因此,对于输入的二进制数字序列应该先进行分组,将每两个比特编为一组,然后用四种不同的载波相位来表征。 用调相法产生QPSK调制原理框图如图所示,QPSK的调制器可以看作是由两个BPSK调 制器构成,输入的串行二进制信息序列经过串行变换,变成两路速率减半的序列,电平发生器分别产生双极性的二电平信号I(t)和Q(t),然后对Acosωt和Asinωt进行调制,相 加后即可得到QPSK信号。 二进制码经串并变换后的码型如图所示,一路为单数码元,另外一路为偶数码元,这两个支路互为正交,一个称为同相支路,即I支路;另外一路称为正交支路,即Q支路

2、QPSK解调原理 由于QPSK可以看作是两个正交2PSK信号的合成,故它可以采用与2PSK信号类似的解调方法进行解调,即由两个2PSK信号相干解调器构成,其原理框图如图 三、实验步骤 在实验箱上正确安装基带成形模块(以下简称基带模块)、IQ调制解调模块(以下简称IQ模块)、码元再生模块(以下简称再生模块)和PSK载波恢复模块。 1、QPSK调制实验 a、关闭实验箱总电源,用台阶插座线完成连接 * 检查连线是否正确,检查无误后打开电源。 b、按基带成形模块上“选择”键,选择QPSK模式(QPSK指示灯亮)。 c、用示波器观察基带模块上“NRZ-I,I-OUT,NRZ-Q,Q-OUT”的信号;并分别与“NRZ IN”信号进行对比,观察串并转换情况。 NRZ-I 与NRZ IN I-OUT与NRZ IN NRZ-Q 与NRZ IN Q-OUT与NRZ IN d、观测IQ调制信号矢量图。

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

BPSK和QPSK调制解调原理及MATLAB程序

2.1 PSK调制方式 PSK原理介绍(以2-PSK为例) 移相键控(PSK)又称为数字相位调制,二进制移相键控记作2PSK。绝对相移是利用载波的相位(指初相)直接表示数字信号的相移方式。二进制相移键控中,通常用相位0 和π来分别表示“0”或“1”。2PSK 已调信号的时域表达式为s2psk(t)=s(t)cosωct, 2PSK移相键控中的基带信号与频移键控和幅度键控是有区别的,频移键控和幅度键控为单极性非归零矩形脉冲序列,移相键控为为双极性数字基带信号,就模拟调制法而言,与产生2ASK 信号的方法比较,只是对s(t)要求不同,因此2PSK 信号可以看作是双极性基带信号作用下的DSB 调幅信号。 在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化时,则产生二进制移相键控(2PSK)信号。通常用已调信号载波的 0°和 180°分别表示二进制数字基带信号的 1 和 0。二进制移相键控信号的时域表达式为 e2PSK(t)=[ n n a g(t-nT s)]cosw c t 其中, an与2ASK和2FSK时的不同,在2PSK调制中,an应选择双极性。 1, 发送概率为P an= -1, 发送概率为1-P 若g(t)是脉宽为Ts, 高度为1的矩形脉冲时,则有 cosωct, 发送概率为P e2PSK(t)= -cosωct, 发送概率为1-P 由上式(6.2-28)可看出,当发送二进制符号1时,已调信号e2PSK(t)取0°相位,发送二进制符号0时,e2PSK(t)取180°相位。若用φn表示第n个符号的绝对相位,则有 0°, 发送 1 符号 φn= 180°, 发送 0 符号 由于在2PSK信号的载波恢复过程中存在着180°的相位模糊,所以2PSK信

QPSK调制解调完整程序(配有自己的注释)知识分享

Q P S K调制解调完整程序(配有自己的注释)

QPSK调制解调完整程序(配有注释) clc; clear all; %假定接收端已经实现载波同步,位同步(盲信号解调重点要解决的问题:载波同步(costas环(未见到相关代码)),位同步(Gardner算法(未见相关代码)),帧同步) % carrier frequency for modulation and demodulation fc=5e6; %QPSK transmitter data=5000 ; %码数率为5MHZ %原码个数 rand_data=randn(1,5000); for i=1:data if rand_data(i)>=0.5 rand_data(i)=1; else rand_data(i)=0; end end %seriel to parallel %同时单极性码转为双极性码 for i=1:data if rem(i,2)==1 if rand_data(i)==1 I(i)=1; I(i+1)=1; else I(i)=-1; I(i+1)=-1; end else if rand_data(i)==1 Q(i-1)=1; Q(i)=1; else Q(i-1)=-1; Q(i)=-1; end

end end % zero insertion ,此过程称为成形。成形的意思就是实现由消息到波形的转换,以便发射,脉冲成形应该是在基带调制之后。 zero=5; %sampling rate 25M HZ ,明白了,zero为过采样率。它等于采样率fs/码速率。 for i=1:zero*data % 采样点数目=过采样率*原码数目 if rem(i,zero)==1 Izero(i)=I(fix((i-1)/zero)+1); Qzero(i)=Q(fix((i-1)/zero)+1); else Izero(i)=0; Qzero(i)=0; end end %pulse shape filter,接着,将进行低通滤波,因为随着传输速率的增大,基带脉冲的频谱将变宽 %如果不滤波(如升余弦滤波)进行低通滤波,后面加载频的时候可能会出现困难。 %平方根升余弦滤波器 % psf=rcosfir(rf,n_t,rate,fs,'sqrt') rate:过采样率,rf:滚降因子,n_t:滤波器阶数,fs:采样率 %用在调制或发送之前,用在解调或接受之后,用来降低过采样符号流带宽并不引发ISI(码间串扰) NT=50; N=2*zero*NT; % =500 fs=25e6; rf=0.1; psf=rcosfir(rf,NT,zero,fs,'sqrt');% psf大小为500

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

实验九 QPSK调制与解调

实验九、QPSK 、QDPSK 调制与解调 一、实验目的 1、掌握QPSK 调制与解调的基本原理及实现方法。 2、掌握QDPSK 调制与解调的基本原理及实现方法。 3、分析QPSK 、QDPSK 系统的有效性和可靠性。 二、实验原理 为提高通信的有效性,最常用的办法的是采用多进制的数字调制。MPSK 和MDPSK 就是多进制的数字相移键控即多相制信号,前者称为多进制绝对相移键控,后者称为多进制相对(差分)相移键控,它们都用M 个相位不同的载波来表示M 个不同的符号。一般来说,有n M 2=,因此,一个符号可以代表n bit 的二进制码元。 1、QPSK 信号分析 QPSK (Quadrature Phase Shift Keying ,正交相移键控)又叫四相绝对相移键控(4PSK ),它利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表2bit 信息,故每个四进制符号又被称为双比特码元。把组成双比特码元的前一信息比特记为a 码,后一信息比特记为b 码,为使接收端误码率最小化,双比特码元(a ,b )通常按格雷码(Gray code )方式排列,即任意两个相邻的双比特码元之间只有一个比特发生变化。图9.1给出了双比特码元(a ,b )与载波相位的对应关系,其中图(a )表示A 方式,图(b )表示B 方式。 图9.1 QPSK 信号相位矢量图 (a )A 方式(2/π系统) (b )B 方式(4/π系统)

根据相位矢量图,得到双比特码元与载波相位之间的对应关系,如表9.1所示。 A 方式的QPSK 信号可表示为 )2 cos()cos()(πωθωn t t t s c n c +=+=,3 ,2 ,1 ,0=n B 方式的QPSK 信号可表示为 )4 1 2cos()cos()(πωθω++ =+=n t t t s c n c ,3 ,2 ,1 ,0=n 由于QPSK 信号普遍采用正交调制(又称IQ 调制)法产生,故QPSK 信号统一表示为 t Q t I t t s c c n c ωωθωsin cos )cos()(?-?=+= 这样,将a 码送入I 路,b 码送入Q 路,然后将I 路信号与载波t c ωcos 相乘,Q 路信号与正交载波t c ωsin 相乘,之后通过加法器相加,即可得到QPSK 信号。 2、QPSK 调制 以B 方式为例,QPSK 信号的产生方法有两种:一是正交调制法,二是相位选择法。 (1)正交调制(IQ 调制)法 二进制调相信号通常采用键控法,而多进制调相信号普遍采用IQ 调制法产生。正交调制法产生QPSK 信号的原理框图如图9.2所示,它可以看成由两个2PSK 调制器构成,上支路将a 码与余弦载波相乘,下支路将b 码与余弦载波相乘,这样产生载波相互正交的两路2PSK 信号,再将这两路信号相加,通过矢量合成便是QPSK 信号。 图9.2 正交调制法产生QPSK 信号 (a )原理框图 (b )矢量合成原理 图中输入的数字基带信号)(t A 是二进制的单极性不归零码,通过“串/并变换”电路变成并行的两路码元a 和b 后,其每个码元的传输时间是输入码元的2倍,且单极性信号将变为双极性信号。其变换关系式将“1”变为“+1”、“0”变为“-1”。“串/并变换”过程如图9.3所示,图中0、1、2等表示为二进制基带码元的序号。 从电路实现的角度看,串并变换实现了双比特码元和I 、Q 两路信号幅度之间的映射,如表9.2所示。IQ 信号幅度只有2种取值,设为2/1是为了保证输出QPSK 信号幅度为1。 ) 1(a )0(a ) 1(b ) 0(b ) 1 ,1() 0 ,0() 0 ,1() 1 ,0(

相关主题