搜档网
当前位置:搜档网 › ISE 14.3 简单教程

ISE 14.3 简单教程

ISE 14.3 简单教程
ISE 14.3 简单教程

Xilinx ISE 14.3 简单教程

本节以一个8位可逆计数器的FPGA开发过程为例介绍Xilinx ISE14.3 集成开发环境的使用。

启动ISE软件,进入如图1所示的ISE集成开发环境,选择菜单[File]的[New Project]功能,出现如图2的对话框。“Name”用于指定项目的名称,“Location”用于指定项目设计数据的保存位置,默认是在ISE的安装目录下。设计者可以自行更改项目存放的位置。需要特别注意的是,由于ISE软件不支持中文字符,所以不论是“Name”还是“Location”中最好不包含有中文字符,否则会导致项目保存时发生错误。

在“Name”框内填上项目名称,例如“count8_updn”,ISE自动在当前保存路径“Location”的目录下创建名为“count8_updn”的目录,并将与“count8_updn”项目相关的文件都保存在该目录中。“Top-Level Source Type”默认选择“HDL”。

图1 ISE集成开发环境

图2 新项目的建立

点击“Next”后,弹出如图3所示的芯片选择对话框,准备为“count8_updn”项目选择设计芯片。

这个步骤主要是选择FPGA芯片的类型、速度、封装,同时选择项目的主要输入方式、综合方式和模拟方式等信息。图3中在“Family”中选择Spartan3E 系列芯片;在“Device”中指定采用“XC3S500E”芯片;芯片的封装形式“Package”是“PQ208”,即表面贴装208个管脚的芯片;芯片的速度“Speed”为“-4”;项目采用“HDL语言”作为主要输入形式;逻辑综合工具“Synthesis Tool”选用了“XST (VHDL/Verilog)”,即可以进行VHDL和Verilog两种语言形式源代码的编译和处理。波形的模拟仿真工具“Simulator”选择“Modelsim-XE VHDL” 或者ISim

(VHDL/Verilog)工具。其它设置使用默认值即可。

图3 芯片型号的选择

配置完成后,连续点击“Next”,直到弹出如图4的项目概要信息对话框。该对话框中描述了当前正在创建的项目的概要信息,包括项目的名称“Project Name”、项目保存的路径“Project Path”、所采用的硬件描述语言“Top Level Source Type”,以及所使用的设备的信息等。

单击“Finish”,完成项目的创建,如图5所示,一个名为“count8_updn”的

项目文件就已经建立了。

图4 项目概要信息

图5 一个空的项目

图5包含了4个子窗口,其中“Sources”是源文件窗口,“count8_updn”创建的所有文件都将在该窗口中显示;“Processes”是动作窗口,所有的命令都可以在该窗口执行;最下方的“Transcript”是状态窗口,操作命令执行的过程和结果都可以在这个窗口中显示出来,可以用于观察运行的状态;主窗口位于右侧,VHDL代码和波形的输入都将在其中进行。

需要注意的是“Processes”窗口中的动作命令是与“Sources”窗口中的源文件对应的。在“Sources”中选中某个源文件,该文件可以执行的全部操作都将在

“Processes”窗口中显示。设计者可以根据设计需要,选择某一个操作去执行。

图6 新建源文件

如图6所示,在源文件窗口的“count8_updn”上单击右键,在弹出的菜单中选择[New Source]功能,就可以启动一个图7的创建源文件的对话框。文件类型选择“VHDL Module”,“File name”栏内填入源文件的名字。注意此时源文件的名字最好与项目的名字相同,保证项目在不同版本间的兼容性和移植性,即

“count8_updn”。

图7 新建源文件(VHDL模块)

点击“Next”,进入下一步,就可以得到图7所示的端口定义对话框。保持“Entity Name”和“Rchitecture Name”的值不变,在“Port Name”中添加端口名,并

在“Direction”字段制定端口的方向。

图8 端口定义

图8中输入的端口信息包括四部分:端口名称、方向、总线、MSB(Most Significant Bit)、LSB (Least significant bit)。按照通常的习惯,信息的高位是MSB,低位是LSB。端口的方向与VHDL语言中定义的一致,包括输入(in)、输出(out)和双向三种类型(inout),可以由设计者设定。需要注意,“Port Name”中定义任何一个端口名不能与“Entity Name”重名,否则在后期逻辑综合的时候会出错。图8中定义了三个输入信号“clr”、“clk”、“updown”和一个8位输出信

号“Q”。“Q”的第7位为最高位MSB,第0位对应最低位LSB。

图9 信息摘要

图10 ISE生成的源文件

图9的完成后,点击“Next”,然后在弹出的对话框中点击“Finish”按钮,就创建了一个VHDL文件,该文件的内容将被显示在项目的主窗口中,如图10所示。

在图10的项目的主窗口中可以看到“count8_updn.vhd”和“Design Summary”两个选项页。其中“Design Summary”包含了项目的概要信息,而“count8_updn.vhd”是ISE创建的VHDL源文件。可以在“Design Summary”上单击右键,选择“Close”将其关闭,只保留“count8_updn.vhd”VHDL源文件,如图11所示。

虽然前面已经对源文件的端口进行了定义,但是在此时仍然可以在文本方式下对VHDL源文件的内容进行修改,增加、删除或修改VHDL的端口

定义均可。

图11 编辑VHDL源文件

编辑“count8_updn.vhd”VHDL源文件。在图11中标号1的位置,即“architecture Behavioral of cout8up_dn is” 与“begin”之间加入代码“signal count_B: STD_LOGIC_VECTOR(7 DOWNTO 0);”。然后,在标号2处,即“begin” 与“end Behavioral;” 之间加入如图12中框内所示的代码。添加代码完成后,点击工具栏上的保存按钮将项目保存。至此,便完成了8位可逆计数器

“count8_updn”项目的VHDL主模块的设计。

12 添加VHDL代码

图13 保存VHDL源文件

如果项目中使用了原来已经编辑过的VHDL文件,可以直接将其加入到当前项目中。方法是在源文件窗口的“xc3s500e-4pq208”上单击右键,在弹出的菜单上选择[Add Source]功能,就可以弹出一个添加文件对话框。选择要添加的文件并点击[open]按钮,该文件就会被添加到当前项目中。这项功能也支持一次添加多个文件到当前项目中,方法是同时选中多个文件后在点击[open]即可。

图14 开始逻辑综合

完成VHDL主模块的设计后,再添加必要的子模块,就可以进行逻辑综合。逻辑综合的主要操作在动作窗口“Process”中,在使用逻辑综合的命令时,必须要保证在源程序窗口“Sources”中的光标所在位置是待处理的那个VHDL文件,以指明要对那个文件进行逻辑综合。

在图14的“Source”窗口中左键单击“count8_updn- Behavioral”,选中“count8_updn.vhd”源文件,此时在“Processes”窗口会出现该源文件可执行的一系列操作。双击动作窗口的“Synthesize - XST”,或在它的右键菜单中选择[Run]功能,系统就调用集成综合工具进行逻辑综合。

如果VHDL模块设计中存在失误,将在执行综合后提示出错,出错的信息显示在状态窗口“Transcript”中。“Transcript”窗口的“Console”选项卡中输出

“Process "Synthesize" failed”,并在“Errors”选项卡中显示错误的提示信息。图15描述了一次综合过程中发现的错误,设计者可以在提示信息中发现VHDL 的哪一行出错,双击错误信息,就可以直接在主窗口中找到出错的位置。

图15逻辑综合出错

图15状态窗口的“Errors”选项卡的错误信息指出不支持加法操作符“+”和减法操作符“-”。需要在源文件中加入相应的支持库,将下面两条语句加入源文件,然后重新编译、综合。

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

图16逻辑综合成功

设计者根据错误信息修改模块后,可以重新进行逻辑综合,如果不在出现语法错误,逻辑综合的结果将在“Transcript”窗口的“Console”选项卡中会输出“Process ‘Synthesize’ completed successfully”的信息,如图16所示。这说明基本设计已经完成,可以进行模拟和其他测试。

需要注意的是,ISE工具的综合能力不能将所有错误准确定位,这也是所有编译类工具不能避免的一个缺陷。此时需要设计者对错误自行分析,找到问题的所在。

2.2.2波形输入与模拟

完成逻辑综合之后,下一步就是进行波形的仿真模拟。

如图17所示,在源文件窗口的“Sources for:”中选择“Behavioral Simulation”选项。然后“xc3s500e-4pq208”的右键菜单上,选择[New Source]功能,就可以启动创建一个图18的波形文件的对话框。文件类型中选择“VHDL Test Bench”,在“File name”中输入波形文件的名称。

按图18输入波形文件名,点击“Next”,弹出图19所示的对话框。其目的是选择对哪个VHDL实体进行波形仿真。示例中只有一个源文件

“count8_updn”,左键选择该文件,然后点击“Next”,在弹出的对话框中点击

“Finish”,弹出图20所示对话框。

图17 选择“Behavioral Simulation”

图18 创建波形文件

图19 选择关联的源文件

图20 文件摘要信息

图21 新添加的波形文件

利用图21 给出的波形文件,加入图22的信号设置语句,即可进行波形仿真。

图22 添加仿真语句

图23 设置波形模拟属性

图24 设定波形长度

图25 启动波形仿真

在启动波形仿真之前需要设置波形模拟属性。如图23所示,在源文件窗口

澳洲维省高中课程VCE Mathematical methods与国内数学对比研究

关于Further Mathematics 与Mathematics Methods 注:11年级的课时计划会打乱书上章节的顺序 ●分析: 1) Further Mathematics内容覆盖广(6年级~大一),与现行高中数学教材接轨小,在内容上仅有不到20%相同的地方,且难度较小,但除应用性强外,对国内数学帮助不大;但国内高中数学对Math有较大帮助。 2) Mathematics Methods 内容与国内高中数学内容相近,大约有70~80%相同,尽管在要求上更重于应用,理论难度要略低于国内数学,但它与国内数学互相辅助程度较大。然而要考虑的是:学生的英语水平及难度会对学生的VCE总分产生影响。 3) 假设只学习Mathematical Methods,根据Mathematical Methods教学安排调整中文数学的教学顺序例如:中文数学中的数列、向量内容后置,将Mathematical Methods中的概率统计前移。 ●方向 1)为了保证学生VCE的总分,应该保留Further Mathematic; 2)而为了让学生在报考国外大学的专业时有更多的选择(尤其指理工科)或得到更高的分数,应该学习Mathematical Methods,且Methods与国内数学的关系更为紧密。只是在学科的课时上,外教的配置上,学生的学费改变与否上考虑。 ●建议: 1、鉴于学生水平的参差不齐,理想状态:所有学生必修Further Mathematic,数学不错的(将来可 能报国外理科专业)的同学选修Mathematical Methods。这将会增加学生的课时。 2、学生学Further Mathematic和Mathematical Methods,多增加一门Mathematical Methods。 ------- 11年级学生学习Further Mathematic的3,4单元;12年级学生学习Mathematical Methods的3,4单元,最后参加5个学科的VCE大考。若这样可行,则可在不增加课时的基础上,多学了一个VCE学科。 ●初拟结论: 1、Methods代表国内数学的课改趋势 2、Methods尽管难度提高了,但因为计算的是标准分(按标准分折算),故与Victoria省比较,应该占优,并不影响VCE总分。 3、Methods与国内数学接近,更利于二者的整合与互助。 4、Methods与国内数学接近,更利于学生对中外数学的统一认识------即:要学好Math,就必须将国内数学学好,反之亦然。尽管它们在内容和深度上还各有特点。

CCS V6安装学习流程

CCS V6.0安装学习流程 ---------write by 聆听忧郁 CCS和IAR相同,都是MSP430的开发工具,不同的是CCS是TI自己研发的。既然如此,CCS对于MSP430的开发一定有一些独到之处吧……最独到的地方是CCS有一个Grace模块,能够进行图形化设置并生成对应代码。单片机内部的模块很多,想快速上手相当困难,Grace模块号称能让新手15分钟就能上手操作,可见其配置简单。 ①下载安装: 这个软件是收费的,但是由于TI大学计划的存在,对于我们来说就相当于免费的……首先用学校邮箱注册TI帐号,已注册的可以更改绑定邮箱,改为学校邮箱,这个验证成功后以后包括申请芯片都会很省事的。因为如果不能认定你是学生,将会收到二次验证,得到的免费服务也会特别少。 注册之后直接搜索CCS,然后点击免费版下载,会弹出一个调查,随便填一下就好,不过一定要合理。

填完之后会收到一封邮件,点一下这个链接就回到下载页面了。 下载很慢……下载的时候要注意的就是要关掉防火墙。 ②安装: 说它是安装,其实是边下载边安装……

然后在安装过程中把和MSP有关的东西全打上钩一路无脑NEXT就好了。 CCS最终程序。 软件和IAR一样,是全英文的。不懂的尽管问谷歌好了…… ③Grace模块安装: 传的神乎其神的Grace竟然是外部模块,这让我无法理解…… 打开CCS。 这个钩最好不要打上,因为路径改起来很困难。 首先找到APP中心。

没下载的这里应该是蓝色的按钮,点选它,然后点上面的INSTALL下载,不过下载速度依然很慢。 ④建立工程: 基本上使用默认参数就好。

Xilinx FPGA入门连载1:ISE14.6安装

Xilinx FPGA入门连载1:ISE14.6安装 特权同学,版权所有 配套例程和更多资料下载链接: https://www.sodocs.net/doc/d4948938.html,/s/1jGjAhEm 1 安装文件拷贝与解压缩 到SP6共享网盘(链接https://www.sodocs.net/doc/d4948938.html,/s/1jGjAhEm)下的software文件夹下载ISE14.6的安装包。 随意选中前面的某个压缩包,右键单击选择“解压到当前文件夹”。 随后大约需要5-10分钟,解压才能完成。解压完成后,出现如下文件夹。

2 虚拟光驱或解压缩安装 点击进入文件夹“Xilinx.ISE.Design.Suite.14.6”,如图所示。 若用户PC安装了虚拟光驱,则使用虚拟光驱打开“XILINX_ISE_DS_14.6”进行安装。 假设用户PC没有安装虚拟光驱,则按照我们下面的步骤操作。右键单击“XILINX_ISE_DS_14.6”,选择“解压到XILINX_ISE_DS_14.6”,如图所示。 又是5-10分钟的漫长等待,谁让咱们土得连个虚拟光驱都没有捏! 3 ISE14.6安装 安装前面的步骤解压缩后,如图所示。

在着手开始安装前,建议大家把什么乱起八糟的杀毒软件都关一关,免得后面一大堆郁闷问题。 双击上图的最后一个可执行文件“xsetup”,随后便弹出了最基本的ISE安装界面,如图所示。点击右下角的“Next”进入下一步。 如图所示分别勾选“I accept …”和“I also accept…”两个选项,再点击右下角的“Next”进入下一步。

如图所示,勾选最下方的“I accept…”选项,然后点击右下角的“Next”进入下一步。 入下一步。

vce教程

VCE题库打开软件Visual CertExam详细使用教程 VCE题库打开软件-Visual Certexam Suite免费版 https://www.sodocs.net/doc/d4948938.html,/thread-36170-1-1.html 发现论坛有的会员对VCE软件使用不熟悉,影响做题效率,现在给大家奉上一个详细的使用教程。感谢各位会员对鸿鹄论坛的支持,我们一直在努力做到更好! 1.打开Visual CertExam软件

2.选择Add按钮添加.VCE格式后缀题库文件

3.选择start直接可以做题,但是该软件不仅仅有这些功能,请往下看吧,选择Exam按钮 4.如上图所示选择history,对了,这就是每次做题的历史记录,可以看到自己历次的成绩。

5.再回到3中选择Operation选项,如图所示 Randomize questions--勾选表示随机抽题,不勾选表示从题库的第一题开始做,建议刚开始接触题库的同学不用勾选,先熟悉一遍题目,等熟悉了再勾选随机抽题选项。 Randomize choices where enable-勾选表示题目的ABCD等选项也是随机出现的,不勾选表示选项跟题库给的顺序一样。

6.回到2中,选strat开始做题,可以选择做所有题目或者自己选择题目的类型,这样便于重点练习自己不熟悉的知识点,查漏补缺,底部Time on选项,默认考试时间是120分钟,可以根据自己的情况适当设置做题时间。 7. 点击上图的OK按钮,会有题库的介绍和相关说明如下图所示,按Begin按钮正式开始答题。

8. 选择题有单选跟多选,题干会题库该题有几个选项,注意下图左上角的Mark按钮,勾选表示对该题做了标记。黄色M即表示标记的题目。

ccs6使用教程图文

CCSv5 使用教程 1、CCSv5 软件的安装 打开CCSv5的安装包,运行安装包主目录下面的ccs_setup_5.2.1.00018.exe,一路next直到安装完成。(注意:安装包应放在英文目录下) 2、注册破解 首次运行CCSv5需要进行注册,按照软件启动提示或者在help菜单栏下打开注册界面,添加安装包license注册文件,提示注册成功,破解完成。 3、仿真器的安装SEED XDS510PLUS 打开仿真器的驱动安装包,在选择安装目录时选择CCSv5安装目录下的ccs 5.2\ccsv5\ccs_base,将驱动安装在此。 4、项目工程的建立 (1)TI官网下载DSP2833x的标准库文件,安装标准库文件。稍后做库文件的整理。 (2)在你常用的盘符下面建立一个DSP Experiment文件夹,在建立一个名为DSPlib的子文件夹,并在DSPLib文件夹下建立名为Cmd,Lib, Source,Prj 的四个文件夹。 (3)打开标准库的安装目录,在DSP2833x_common和DSP2833x_headers 文件夹下整理出*.cmd存放在Cmd文件夹下,主要有下图的文 件。整理出*.h,*.c,*.asm文件存放在Lib文件夹下。如图。

在Source文件夹下建立main.c的文件。至此建立工程必备的文件都已经准备齐。 (4)(4)在DSP Experiment文件夹下建立example1文件夹,并拷贝Cmd,Lib,Source,Prj 到此文件夹下。然后打开 ccsv5,选择默认的工程项 目文件夹为DSP Experiment,并确定。 (5)Project—>New CCS Project,新建工程,并作如下填写。

网店美工视觉设计实战教程(全彩微课版)-48481-教学大纲

《网店美工视觉设计实战教程(全彩微课版)》 教学大纲 一、课程信息 课程名称:网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版) 课程类别:素质选修课/专业基础课 课程性质:选修/必修 计划学时:21 计划学分:2 先修课程:无 选用教材:《网店美工视觉设计实战教程(全彩微课版)》,何晓琴编著,2018年;人民邮电出版社出版教材; 适用专业:本书可作为有志于或者正在从事淘宝美工相关职业的人员学习和参考,也可作为高等院校电子商务相关课程的教材。 课程负责人: 二、课程简介 随着网店的迅速普及和全民化,衍生了“淘宝美工”这个针对网店页面视觉设计的新兴行业。本书从淘宝美工的角度出发,为淘宝卖家提供全面、实用、快速的店铺视觉设计与装修指导。主要包括网店美工基础、图片调色、图片修饰、店铺首页核心模块设计、详情页视觉设计、页面装修、视觉营销推广图制作等,最后针对无线端进行首页、详情页视觉的设计与装修。本书内容层层深入,并通过丰富的实例为读者全方面介绍淘宝美工在日常工作中所需的知识和技能,有效地引导读者进行淘宝店铺装修的学习。 本课程主要对淘宝美工的设计基础和方法进行详细介绍,通过学习该课程,使学生了解网店美工的基本要求,以及掌握网店的设计与制作。 三、课程教学要求

体描述。“关联程度”栏中字母表示二者关联程度。关联程度按高关联、中关联、低关联三档分别表示为“H”“M”或“L”。“课程教学要求”及“关联程度”中的空白栏表示该课程与所对应的专业毕业要求条目不相关。 四、课程教学内容

五、考核要求及成绩评定 注:此表中内容为该课程的全部考核方式及其相关信息。 六、学生学习建议 (一)学习方法建议 1. 理论配合实战训练进行学习,提高学生的实战动手能力; 2. 在条件允许的情况下,可以申请一个网店,进行深入学习; 3. 提高学生的是设计感和审美能力; (二)学生课外阅读参考资料 《网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版)》,何晓琴编著,2018年,人民邮电出版社合作出版教材

xilinx ise安装教程

《Xilinx ISE 14.2 安装指南》 1. 写在前面的话 到了这个年纪,一个人的路,总是孤独的。型号还有FPGA这一爱好,凭着自己的兴趣,不屈不饶的努力学习,不求有多大的成就,但求能给数字界贡献微薄的一份子。曾经无数的跌倒,曾经无数次的失落,曾经无数次的崩溃,尽管失去总比得到的多,这些都不重要,因为,我一直在努力,像松鼠那么努力! 光阴似箭,玩了大约3年的Altera FPGA,把它当做最亲密的伙伴的同时,我竟然开始玩弄Xilinx FPGA了。。。甚是激动。。。也许知己知彼,百战百殆,但对我而言,每一个都将是我学习的对象,也许是我的兴趣,也许是我的使命,这两者必定在我未来扮演重要的角色。尽然开始读研了,一个人的奋斗,没有找到久违的团队感,一度,我感到很孤独!虽然水平不过如此,见识还是那么的肤浅,能力还是不足,但好歹我终于找到了激情的欲望,也许拿到ZedBoard这一刻起,我又能找回方向,填补孤独的心灵了。 允许,还是老样子,我将会一步一步记录我Xilinx FPGA的学习过程,包括我的设计,思想,灵感;我会将此与Altera FPGA对照着来触摸,深化,我希望能让更多的人了解Xilinx,而不是仅仅局限于Altera FPGA。此刻开始,从新回归到菜鸟水平,“巧妇难为无米之炊”,万事开头难,在收集了一大包相关Xilinx的资料后,咱就开始安家吧—Xilinx ISE 14.2安装指南。

2. Xilinx ISE 14.2软件下载 由于偷懒,省的更换系统后又得下载对应版本的软件,在官网直接下载全功能版本,8.2G,支持Windows & Linux,网址及连接如下所示:

CCS6.0安装图文教程

1. 下载 方式多种多样,本机的软件来源于CCS巴的吧友精华帖,一般非特别官方版权的软件都可以在其软件贴吧论坛能找到资源。 本机下载的是CCS勺最新版本32位软件。 2. 安装步骤 a)下载完成得到2个解压包以及一个lie文件,此处我们安装的是 b)双击进入安装界面,首先会弹出两个窗口,分别提示我们:是否同意检测杀毒软件的存在,和杀毒软件正在运行、是否继续安装。 需要注意的是电脑里面的防病毒软件,如果没有关的话会有如下对话窗,这里建议在安装过程中暂时关闭,如果没有关闭的话,引起安装 失败,请看最后的附录 e)同意安装协议 d)选择安装目录,为了规避风险,请在选择安装目录时尽量避免有中文的目录,安装在C盘底下无疑可以运行的更方便,但此处选用其他 功能盘。 e)选择型号 勾选不同的类别就对应着此次安装的内容,安装的过多的内核类别,会导致运算量增加、软件运行变慢,因此需要对照右侧的 Descriptio n,选择我们需要的类别。 本学期的课程围绕C2000的应用,勾选如图选项足以。就算以后需要拓宽我们的业务范围,也可后续在设置中加入,无须担心。 f)这里选择仿真器,一般可以直接安装ccS隹荐的安装就行,如果是有需要,则根据实际情况进行选择安装,单击Next g)这里是CCSV开始拥有的App Center,根据实际需要进行选择,最后单击Finishing。 h)进度条安装等待完成。 3. 启动及配置 a)找到你的安装目录下,双击ccstudio.exe进入初始化界面。 b)选择的工作区间的放置地址,此处我选择新建根目录下的空白文件。 c)正式进入软件界面,弹出一个对话框,询问是否联网下载刚才我们选中的APP STOR内插件,选择NO。 d)安装我们的license注册列表。 选择Upgrand 的Launch license Setup e)找寻我们最初解压出来的那个license文件,最好把他拖到当前安装目录的文件夹下。 点击Browse选择CCS6_License.Li文件。 安装注册表成功的标志是,原本出现在左下角的Free Lice nse变成在 右下角的Full Licensa f)至此,所有安装完成,可以享用美味大餐啦! 对了,不要忘记创建快捷方式,再拖到到桌面啊A _八,此版本不能在桌面出现快捷方式,也无法在开始菜单中自动添加启动方式,需 要手动。 4. 附录

ISE学习教程

1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。

图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

Visual CertExam(VCE)试题制作教程

制作方案一:将PDF制作成VCE文件 制作相关软件: 1、Exam Formatter (把PDF转成RTF) 2、Visual CertExam Suite (主要用designer把RTF转成VCE) 具体操作步骤: 1、打开exam formatter软件 2、选择file->import导入相应PDF文件 3、自动导入后,exam formatter如下 4、选择file->save as,保存成rtf文件 5、运行visual certexam designer->import导入刚才保存的rtf文件 6、next->选择rtf格式(刚才保存的为rtf格式)) 8、next,选择刚才保存的rtf文件 9、next,选择第三项 10、next,进行导入预览 11、next->import导入完成 12、file->save as保存成vce文件 详情请参阅:https://www.sodocs.net/doc/d4948938.html,/s/blog_6c991f270101a7bj.html 感谢@明旭2010分享的制作方案 制作方案二:将PDF转换成TXT后,制作成VCE文件 用第一种方案制作,依赖于Exam Formatter 对PDF的OCR识别,但事实上Exam Formatter并不是一款专业的OCR识别软件,它对PDF的文字识别效果很差。通过方案一制作出来的.VCE文件通常是这样的:

上面图片所属题库里面有280多道题,用方案一只识别出来9道题,而且题目标题,不同的题目也不能识别开来,所以方案一只适用于Exam Formatter 能对PDF完全识别的少部分情况。 VCE题库文件制作2种方法:一种是PDF文件导入(方案一),另一种就是直接将TXT文件导入designer 制作。既然Exam Formatter对PDF的文字识别效果不好,那我们可不可以先用专业的PDF文字识别软件现将题库文字识别出来,再用TXT来制作VCE题库呢? 制作相关软件: 1、CAJViewer 7.2 :中国知网的专用浏览器,文字识别效果尚可。(免费) 2、ABBYY_FineReader:一家俄罗斯软件公司开发的OCR识别软件,识别准确率可以达到95% 以上。(收费) 3、Visual CertExam Suite (主要用designer把TXT转成VCE) 具体操作步骤: 1、用CAJViewer 7.2 打开你要识别的PDF文件 2、选择文件->另存为,在弹出的选项框里面,保存类型选择TXT 3、打开你识别好的TXT文件: 将QUESTION 替换成Q ,比如QUESTION1=Q1,QUESTION2=Q2以此类推 将Correct Answer 替换成Answer

CCS v5的安装及使用教程

目录 第二章软件的安装与应用 (1) 2.1 CCSv5.1的安装 (1) 2.2 利用CCSv5.1导入已有工程 (4) 2.3 利用CCSv5.1新建工程 (6) 2.4 利用CCSv5.1调试工程 (9) 2.5 CCSv5.1资源管理器介绍及应用 (16) 第二章软件的安装与应用 CCS(Code Composer Studio)是TI公司研发的一款具有环境配置、源文件编辑、程序调试、跟踪和分析等功能的集成开发环境,能够帮助用户在一个软件环境下完成编辑、编译、链接、调试和数据分析等工作。CCSv5.1为CCS软件的最新版本,功能更强大、性能更稳定、可用性更高,是MSP430软件开发的理想工具。 2.1 CCSv5.1的安装 (1)运行下载的安装程序ccs_setup_5.1.1.00031.exe,当运行到如图2.1处时,选择Custom 选项,进入手动选择安装通道。 图2.1 安装过程1 (2)单击Next得到如图2.2所示的窗口,为了安装快捷,在此只选择支持MSP430 Low Power MCUs的选项。单击Next,保持默认配置,继续安装。

图2.2 安装过程2 图2.3 软件安装中

图2.4 软件安装完成 (3)单击Finish,将运行CCS,弹出如图2.5所示窗口,打开“我的电脑”,在某一磁盘下,创建以下文件夹路径:-\MSP-EXP430F5529\Workspace,单击Browse,将工作区间链接到所建文件夹,不勾选"Use this as the default and do not ask again"。 图2.5 Workspace选择窗口 (4)单击OK,第一次运行CCS需进行软件许可的选择,如图2.6所示。 在此,选择CODE SIZE LIMITED(MSP430)选项,在该选项下,对于MSP430,CCS免费开放16KB的程序空间;若您有软件许可,可以参考以下链接进行软件许可的认证:https://www.sodocs.net/doc/d4948938.html,/index.php/GSG:CCSv5_Running_for_the_first_time,单击Finish即可进入CCSv5.1 软件开发集成环境,如图2.7所示。

ISE安装步骤

从网上下载测试版软件华中科技大学ftp:211.69.207.25下载 ModelSim.SE.v6.0 仿真工具 Xilinx.ISE.v6.3i.Incl.Keymaker- foundation安装软件 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon- foundation库文件1 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon.Addon- foundation库文件2 SYNPLICITY_SYNPLIFY_PRO_V7.7 foundation器件综合软件 一、ModelSim.SE.v6.0的安装 双击图标进行安装,然后双击keygenerate产生文件license.dat文件。将其拷贝到modelsim安装的文件夹下。单击我的电脑,在右键中修改环境变量。 加入环境变量LM_LICENSE_FILE,变量值为C:/Modeltech_6.0/license.dat 则modelsim安装成功.还需在modelsim安装的文件夹下拷贝已经编译好的一些库文件,为其后面的处理作好准备,三个库文件为XilinxCoreLib_ver、unisims_ver、simprims_ver。 进入ModelSIM安装文件夹,找到一个名为ModelSIM.ini的文件,将其属性由只度改为存档,在[Library]段的最后加上simprims_ver = $MODEL_TECH/../simprims_ver XilinxCoreLib_ver= $MODEL_TECH/../XilinxCoreLib_ver unisims_ver= $MODEL_TECH/../unisims_ver 目的是让ModelSIM运行时可以自动加载编译好的库文件。 二、Xilinx.ISE.v6.3i.Incl.Keymaker的安装 双击其安装软件进行安装,光盘里有两张光盘,和一个ID产生器,安装两个光盘时,要使用同一个ID。 将Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.AddXilinx .ISE .v6.3i.VIRTEX.VIRTEXE.Addon.Add 分别解压缩,将解压缩得到的文件夹拷贝到Xilinx安装的目录下(第二个文件只需拷贝最後一个文件) ISE6.2的安装,大体一致,记得有选择set/update时全选,不然有些功能无法适用,至于升级,打开edit后,在perference中去掉就可以了。 如果你装了防火墙,如天网、瑞星,第一次启动时,要一直允许ise访问网络,不然会抱错的。 经试验,最好安装在winXP系统下。 三、SYNPLICITY_SYNPLIFY_PRO_V7.7的安装 将其完全解压后进行安装,其中的license要选择使用本机的license,然后用解压后的 license.txt覆盖SYNPLICITY目录下的license,用解压后的覆盖 Synplicity\Synplify_77\bin\mbin目录下的同名文件。 发现环境变量改变为SYNPLICITY_LICENSE_FILE,变量值为C:\Synplicity\license.txt。软件整体安装成功!

Spartan-14.7平台与ISE软件的入门

Spartan-3平台与ISE软件的入门 一、快速浏览Spartan-3E Starter Kit的用户指南,便于以后进行内容查找。 中文用户指南:Spartan-3E Starter Kit Board User Guide.mht 英文用户指南:Spartan-3E Starter Kit Board User Guide.pdf 二、ISE软件安装。 根据资料自学完成安装(在个人电脑上),ISE推荐版本:14.7。注意需要破解(即提供license)。 三、ISE软件的初次使用。 在Spartan-3E开发板上,使用ISE软件,完成一个最基本工程的建立、编程、仿真、下载实现。 3.1 1)运行ISE Design Suite软件,界面如下

File New Project a)设置顶层文件类型Top-level source type为Schematic(原理图)

b)选择工程的保存位置Location和工作目录Working Directory 为fpgaxunlian c)为工程取名,如:xunlian1 点击Next 进行下述设置

根据观察我们使用的Spartan 3E开发板上的FPGA芯片上的字,可知目标FPGA芯片的属性如下 芯片系列(Family):Spartan3E 芯片型号(Device):XC3S500E 芯片封装(Package):FG320 速度等级(Speed):-4(注:XILINX的FPGA,值越大,速度等级越高) 综合工具(Systhesis Tool)选择ISE自带的XST 仿真工具(Simulator)选择ISE自带的Isim 编程语言(Preferred Language)选择Verilog 然后,点击Next

使用CCS进行DSP编程(一)——CCS编程入门(精)

使用CCS进行DSP编程(一) ——CCS编程入门 TI公司提供了高效的C编译器和集成开发环境Code Composer Studio,学习 (6) 的编程应该从学习CCS的使用开始。 首先安装CCS,CCS的安装有详细的说明,并配有简短的Quick Time的多媒体介绍,对于没有购买CCS的用户,可以从TI处得到30天的试用版(没有硬件仿真功能)。 使用CCS前需要对CCS进行设置,以Simulator为例,运行Setup CCS C6000 1.20,安装Device Driver,对于有硬件支持的仿真器,可以选择配套的CCS驱动,设置完成的画面如下图所示:用户的界面大致相同。 接下来就可以运行CCS了,CCS提供了比较好的例子,对于初学者,仔细学习这些例子,会起到事半功倍的效果。在CCS的Help菜单的Tutorial子菜单下,给出了四个教程,分别是:Code Composer Studio Tutorial、Advanced DSP/BIOS Tutorial、Compiler Tutorial和RTDX Tutorial,用户可以从简单的CCS功能 开始,如创建一个工程文件Project,到创建一个完善的用户程序一步一步的进行。

下面是Code Composer Studio Tutorial的例子: 分别从生成一个简单的“Hello World”程序,到使用DSP/BIOS功能,到程序的调试,实时分析,I/O操作等分6课来讲解,可以领略TI的CCS的强大功能。 下面以“Hello World”程序为例讲一下CCS的使用。 首先打开一个Project文件

ISE12.4教程

0 前言 一套完整的FPGA设计流程包括电路设计输入、功能仿真、设计综合、综合后仿真、设计实现、添加约束、布线后仿真和下载、调试等主要步骤。 图1 FPGA设计流程 目前赛灵思公司FPGA设计软件的最新版本是ISE 13,由于笔者暂未用到该版本,本文中以ISE 12.4为例。 ISE 9以后的版本的安装文件都是集成到了一个包当中,安装起来很方便。软件包里面包含四个大的工具,ISE Design Tools、嵌入式设计工具EDK、PlanAhead、Xtreme DSP设计工具System Generator。ISE设计工具中包含ISE Project Navigator、ChipScope Pro 和以下工具: 图2 ISE软件包中部分工具 做一般的FPGA逻辑设计时只需要用到ISE设计工具,下面通过一个最简单的“点亮LED 灯”实例,具体讲解ISE设计工具的使用,并介绍基于ISE的FPGA设计基本流程。 1 创建工程 (1)在桌面快捷方式或开始→所有程序→Xilinx ISE Design Suite 12.4→ISE Design Tools中打开ISE Project Navigator。 (2)单击File→New Project...出现下图所示对话框。

图3 新建工程对话框 在该界面输入工程名、选择工程存放路径、选择顶层模块类型,其中顶层模块类型有硬件描述语言(HDL)、原理图(Schematic)、SynplifyPro默认生成的网表文件(EDIF)、Xilinx IP Core和XST生成的网表文件(NGC/NGO)这四种选项,这里我们使用Verilog模块作为顶层输入,所以选HDL。 (3)单击Next >进入下一步,弹出下图所示对话框。

澳州VCE高中课程

澳州VCE高中课程 什么是 VCE? ●澳大利亚维多利亚州授予的高中证书 ●一个单元是一门学科一学期的课程,需要通过至少16个单元以完成学业 ●成绩由学校内部考试和学校外部维州统一考试及综合评估组成,取得规定学 分,即获得澳大利亚高中VCE证书 谁认可VCE? ●VCE在世界范围内被广泛承认,尤其是英语国家 ●所有澳大利亚的大学认可VCE,录取取决于你的VCE评估成绩在澳洲的排名 有哪些VCE课程? ●VCE课程与澳大利亚维洲开设的完全一致 ●起初开设4至6门核心科目,通常是英语、数学、中文和商科或科学等科目●第一学期开设英语预备课程,以确保学生达到接受英语授课的能力 如果管理VCE项目? ●澳大利亚维州教育评估署官员每年都要对海外学校进行检查 ●澳洲半岛学校经常性派专业人士现场访问指导 ●澳洲半岛学校为在中国教授相同学科的老师提供指导老师 ●学生的成绩报告及学籍档案由澳洲半岛学校统一存档管理 VCE课程有哪些特色? ●VCE课程全部用英语教学 ●由维州教育评估署提供教学大纲和考试评估 ●由澳洲半岛学校提供课程计划,浦外参与整合设置 ●绝大多数教学和评估资源在澳洲准备并与澳洲同步 VCE课程有何益处? ●VCE课程为中国学生开辟了一条入读澳大利亚和其它国家大学的途径 ●学生可在自己的国家中学习得到世界承认的优质学历课程 ●节省了到国外就读高中所需的高额度的生活费用 ●学生可习得流利的英语,英语教学的经历为前去英语国家大学深造作好了充 分的准备 如果招生? ●VCE课程学制:全日制三年,全部在浦外校园学习。 ●今年9月开班,计划招生100名。本市具有较好英语水平的初中毕业生,通 过相应的考核,即可成为课程项目正式学生就读。

CCS 6 新建TMS320F28335工程(可移植))

使用CCS 6新建TMS320F28335工程 本例程以CCS 6.0下新建TMS320F28335工程为例。用CCS 5,或者新建TI的其它处理器工程的区别也不大,参考本例即可。 所建工程能够实现完全的可移植,即任意拷贝到其他位置或其他电脑都能够直接编译运行,非常方便。 一、CCS 6.0安装

一路Next即可,在处理器支持页面,选择C2000系列即可。根据需要选择。

仿真器也是根据实际选择,XDS100是TI官方的,便宜又实在。

跳出是否安装的页面,均选择安装。 安装完成后,CCS5的大学版的license能够继续用。将其复制到C:\ti\ccsv6\ccs_base\DebugServer\license 即可。 打开软件后,会跳出页面要求设置Workspace路径,注意不能有中文。 Workspace路径也可以更改,如下即可:

二、新建TMS320F28335工程 1)在Workspace文件下,建立Project format for CCS6文件夹,这个文件夹就是我们接下来要建立的工程模板文件夹,需要移植或者拷贝程序,拷贝该文件夹即可。 在Project format for CCS6文件夹下,新建Project文件夹,该文件夹用于存放我们的工程文件。 拷贝以下四个文件夹到Project format for CCS6文件夹下。 DSP2833x_common DSP2833x_headers FPUfastRTS IQmath 注:这四个文件夹可以从TI官方文件得到,需要安装 controlSUITE,TI官网可免费下载。 安装好controlSUITE之后,可以在 C:\ti\controlSUITE\libs\math\ C:\ti\controlSUITE\device_support\f2833x\v141\ 中找到上面的四个文件夹。 (v141为版本号,实际不一定是这个版本,可能有更新)

ISE教程

光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。 图4-1 ISE安装过程的欢迎界面 2.接着进入注册码获取、输入对话框,如图4-2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。

图4-2 ISE9.1安装程序的注册码输入界面 购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。首先在Xilinx的官方主页 https://www.sodocs.net/doc/d4948938.html,上建立自己的帐号,然后点击图4-1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。 3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图4-3所示。单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续 图4-3 ISE软件安装路径选择对话框 4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。

图4-4 ISE安装组件选择界面 评论[支持者: 0 人,反对者: 0 人,中立者: 0 人] 查看评论信息 2008-11-26 20:53:00 第3楼 小大 个性首页| QQ 5.随后进入设置环境变量页面,保持默认即可。如果环境变量设置错误,则安装后不能正常启动ISE。选择默认选项,安装完成后的环境变量,其值为安装路径。最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

澳大利亚维州VCE会计课程教育与思考

摘要教育国际化引发越来越多的国际高中课程进入了中国学生的视野。中国学生在国内就能参加全球各国的大学入学考试,顺利进入世界各地的大学深造学习。本文将介绍澳大利亚维多利亚州的高中VCE课程体系中的会计学科,比较中澳两国在课程设计、教育目标以及评价体系中的差异,并对我国会计课程教学现状给出分析、建议和思考。关键词澳大利亚VCE会计高中教育评价体系Analysis and Reflection on VCE Accounting Curriculum Education in Australian State of Victoria//TangXiaominAbstractWiththeprocessofinternationalizationofeducation,moreandmoreinternationalhighschoolcurriculumgetintotheChinesestudents'horizons.Chinesestudentswillbeabletopar-ticipateintheglobalnationalcollegeentranceexams.Australianeducationenjoysahighinternationalreputation,thisarticlewilldetailtheAustralianstateofVictoriaHighSchoolVCEaccount-ingeducationcurriculumobjectives,curriculumdesign,teachingmethods,andevaluationsystem,andanalysisandgiverecom-mendationstoChineseeducation. Key wordsVCE;accounting;highschooleducation;evaluationsystem 随着教育国际化的进程,越来越多的国际高中课程进入了中国学生的视野。例如英联邦国际的A-level课程,澳大利亚VCE课程,美国的IB、GAT课程,德国课程等,中国学生在国内就能参加全球各国的大学入学考试,顺利进入世界各地的大学深造学习。本文将介绍澳大利亚维多利亚州的高中VCE课程体系中的会计学科,比较中澳两国在课程设计、教育目标以及评价体系中的差异,并对我国会计课程教学现状给出分析、建议和思考。 1澳大利亚VCE会计(Accounting)课程设置澳大利亚维多利亚州高中阶段VCE教育课程是指“theVictorianCertificateofEducation”教育证书,该教育证书既是学生高中毕业的证明,也是澳大利亚大学录取的重要指标,它得到全球认证,不仅仅可以申请澳大利亚大学,还可以申请世界范围内包括英国、美国、加拿大、中国香港、新加坡等一流大学。澳大利亚VCE的高中学习科目设置多元化。高中阶段学科设置有数学(专业数学、数学方法等)、社会学科(中文、会计、经济、地理、历史等)、自然科学(IT、物理、生物、化学等)以及语言学科(中文、法语、英语、英语文学、英语作为第二语言等)。在澳大利亚高中阶段就开设实用性很强的会计学对学生了解商业运营模式,很具有实践意义[1]。 澳大利亚维多利亚州VCE的高中阶段就开设了中国国内只有在本科教育中才有的会计课程(Accounting),供学生选择学习[2]。会计课程不仅是学生在大学阶段学习会计、商科、经济、金融等专业的必修课程,还为学生从中学起就了解社会商业运转,设定未来职业理想目标提供了良好的基础。 2澳大利亚VCE会计课程教学特点 澳大利亚VCE会计教学方法体现了自主性、灵活性和多样性。会计学科又具备其独特的特点,是知识性和实践性紧密结合的学科。 澳洲VCE会计教学的特点之一就是强调自主性。澳大利亚的高中更像国内的大学,学生没有固定的教室,可以自己挑选每年学习的科目。学校拥有先进的教学设备,老师在教学中使用电脑投影,学生们听课都使用电脑,每个人都有无线网络账号,能随时上网,这不论对老师的课堂控制力还是学生的学习自觉性都是一种考验。仔细研究校园网络系统,发现每个学生所选课程安排、上课内容、待完成的作业及时间安排等都有详细的模块分类,这也是每个学生每天必看的。首先上课前老师会把本堂课提纲通过学校网络发给每个同学,学生可以先作预习,在上课时在老师的提纲上将笔记补充完整,起到了提纲挈领的作用。既让学生明确了本节课学习的目标,又能进一步检查学生掌握程度,同时也锻炼了学生的动手能力,会计课程教学就注重学生的笔记的完善,条理的清楚,以便于抓住重点复习考试,而作业等也是直接在电脑上完成即可。当然有利也有弊,老师在讲课时就得有所要求,比如合上电脑等。会计这门学科教学要求中有计算机完成部分,例如运用OFFICE的软件Excel、现在国际通用的会计软件Quickbook进行操作练习等,多样性的教学让学生有更多的机会将会计教学融入到社会实践中。通过多种途径建构学生的会计知识,并且这部分的成绩在学校评价体系(SAC)中占到一定比重。 澳洲VCE会计教学的特点之二是强调计划性和目的性。通常每天只有四节课,每节课70分钟,早上9点开始, 澳大利亚维州VCE会计课程教育分析与思考 唐晓敏 (南京外国语学校仙林分校国际高中部江苏·南京210023)中图分类号:G424文献标识码:A文章编号:1672-7894(2013)21-0090-02 作者简介:唐晓敏(1982—),女,湖北荆州人,经济学硕士。 教改教法 90

相关主题