搜档网
当前位置:搜档网 › 模电仿真实验指导书

模电仿真实验指导书

模电仿真实验指导书
模电仿真实验指导书

模电仿真实验 共射极单管放大器

仿真实验报告册 仿真实验课程名称:模拟电子技术实验仿真仿真实验项目名称:共射极单管放大器 仿真类型(填■):(基础■、综合□、设计□) 院系:专业班级: 姓名:学号: 指导老师:完成时间: 成绩:

一、实验目的 (1)掌握放大器静态工作点的调试方法,熟悉静态工作点对放大器性能的影响。 (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 (3)熟悉低频电子线路实验设备,进一步掌握常用电子仪器的使用方法。 二、实验设备及材料 函数信号发生器、双踪示波器、交流毫伏表、万用表、直流稳压电源、实验电路板。 三、实验原理 电阻分压式共射极单管放大器电路如图所示。它的偏置电路采用(R W +R 1)和R 2组成的分压电路,发射极接有电阻R 4(R E ),稳定放大器的静态工作点。在放大器的输入端加入输入微小的正弦信号U i ,经过放大在输出端即有与U i 相位相反,幅值被放大了的输出信号U o ,从而实现了电压放大。 在图电路中,当流过偏置电阻R 1和R 2的电流远大于晶体管T 的基极电流I B 时(一般5~10倍),则它的静态工作点可用下式进行估算(其中U CC 为电源电压): CC 21W 2 BQ ≈ U R R R R U ++ (3-2-1) C 4 BE B EQ ≈I R U U I -= (3-2-2) )(43C CC CEQ R R I U U +=- (3-2-3) 电压放大倍数 be L 3u ||=r R R β A - (3-2-4) 输入电阻 be 21W i ||||)(r R R R R += (3-2-5) 图 共射极单管放大器

数控仿真软件实验指导书

数控仿真实验指导书 机电一体化机械设计制造自动化专业 2008年实训中心编制

目录 实验一数控车床仿真软件操作学习 (2) 实验二数控车编程及仿真加工实例 (5) 实验三数控铣床仿真软件操作学习 (7) 实验四数控铣床编程及仿真加工实例 (10) 实验五数控机床(加工中心)仿真软件操作学习 (12) 实验六广州数控系统车床操作学习 (15)

实验一数控车床仿真操作学习 一、实验目的 通过使用数控模拟仿真软件,使学生从计算机上直观的学习包括法那克、西门子、华中数控等系统的数控车床的基本操作方法,同时可输入程序进行仿真加工实验,达到对学生理论课巩固和理解以及提高学生操作技能的目的。 二、实验内容 1、 FANUC Oimate数控系统车床操作界面及仿真加工过程 2、华中数控HNC21T、西门子802d操作界面 三、实验步骤 1、进入仿真系统 (1)在桌面上找到“机电国贸CZK系列软件”的文件夹,双击进入,找到“数控车床系列”,双击进入,然后选择CZK-Fanuc0iMate。 (2)出现重新选择主机提示框,选择确定(主机名是服务端的计算机名,已经设定好了,学生无须改动)。登录窗口出现后,选择训练模式。 (3)整个仿真软件主要由机床操作面板、仿真机床窗口组成。 2、仿真机床操作面板按键说明(以FANUC Oimate为例) 一>MDI键盘 (1)常用功能键 POS 当前机床位置显示 PROGRAM 程序显示 OFSET 偏置量显示 (2)常用的编辑键 RESET 复位键:终止当前一切操作、CNC复位、解除报警。 INPUT 用于参数、偏置量的输入 地址/数字键用于字母、数字等的输入 CAN取消输入键用于删除已输入到缓冲器的文字或符号 ↑↓光标的移动键

电工电子实验指导书

电工电子技术实验指导书 实验一日光灯电路及功率因数的改善 一、实验目的 1、验证交流电路的基尔霍夫定律。 ⒉了解日光灯电路的工作原理。 ⒊了解提高功率因数的意义和方法。 二、实验仪器及设备 ⒈数字万用表一块 ⒉交流电流表一块 ⒊ZH-12电学实验台 ⒋日光灯管、镇流器、电容器、起辉器各一个 三、实验原理 ⒈日光灯工作原理: 日光灯电路由灯管、启动器和镇流器组成,如图5-1所示。 ①日光灯:灯管是内壁涂有荧光物质的细长玻璃管,管的两端装有灯丝电极,灯丝上涂有受热后易发射电子的氧化物,管内充有稀薄的惰性气体和少量的水银蒸汽。它的起辉电压是400~500V,起辉后管压降只有80V左右。因此,日光灯不能直接接在220V电源上使用。 图5-1 日光灯的原理电路

②启辉器:相当于一个自动开关,是由一个充有氖气的辉光管和一个小容量的电容器组成。辉光管的两个金属电极离得相当近,当接通电源时,由于日光灯没有点亮,电源电压全部加在启动器辉光管的两个电极之间,使辉光管放电,放电产生的热量使到“U”形电极受热趋于伸直,两电极接触,这时日光灯的灯丝通过电极与镇流器及电源构成一个回路。灯丝因有电流通过而发热,从而使氧化物发射电子。同时,辉光管两个电极接通时,电极间的电压为零,辉光放电停止,倒“U”形双金属片因温度下降而复原,两电极分开,回路中的电流突然被切断,于是在镇流器两端产生一个瞬间高压。这个高感应电压连同电源电压一起加在灯管的两端,使热灯丝之间产生弧光放电并辐射出紫外线,管内壁的荧光粉因受紫外线激发而发出可见光。 小电容用来防止启燃过程中产生的杂散电波对附近无线电设备的干扰。 ③镇流器:它的作用一是在灯管起燃瞬间产生一高电压,帮助灯管起燃 ;二是在正常工作时,限制电路中的电流。 ⒉提高功率因数的意义和方法 在电力系统中,当负载的有功功率一定,电源电压一定时,功率因数越小,线路中的电流就越大,使线路压降、功率损耗增大,从而降低了电能传输效率,也使电源设备得不到充分利用。因此,提高功率因数具有重大的经济意义。 在用户中,一般感性负载很多。如电动机、变压器、电风扇、洗衣机等,都是感性负载其功率因数较低。提高功率因数的方法是在负载两端并联电容器。让电容器产生的无功功率来补偿感性负载消耗的无功功率以减少线路总的无功功率来达到提高功率因数的目的。四、实验内容及步骤 ⒈了解日光灯的各部件及其工作原理 ⒉按图5-2接好线路,电容器先不要接入电路。

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班 MUltiSim软件使用 一、实验目的 1、掌握MUltiSim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、MUItiSim软件介绍 MUItiSim是美国国家仪器(NI)有限公司推出的以WindOWS为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用MUItiSinl交互式地搭建电路原理图,并对电路进行仿真。MUltiSiIn提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPlCE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过MUItiSiIn和,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到和测试这样一个完整的综合设计流程。 实验名称:

仪器放大器设计与仿真 二、实验目的 1、 掌握仪器放大器的设计方法 2、 理解仪器放大器对共模信号的抑制能力 3、 熟悉仪器放大器的调试功能 4、 掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏 表信 号发生器等虚拟仪器的使用 三、设计实验电路图: 四、测量实验结果: 出为差模放大为399mvo 五、实验心得: 应用MUIti S im 首先要准备好器件的PSPiCe 模型,这是最重要的,没有这个 东西免谈,当然SPiCe 高手除外。下面就可以利用MUItiSinl 的元件向导功 能制作 差模分别输入信号InW 第二条线与第三条线: 共模输入2mv 的的电压,输出为2mv 的电压。 第一条线输

系统仿真综合实验指导书(2011.6)

系统仿真综合实验指导书 电气与自动化工程学院 自动化系 2011年6月

前言 电气与自动化工程学院为自动化专业本科生开设了控制系统仿真课程,为了使学生深入掌握MATLAB语言基本程序设计方法,运用MATLAB语言进行控制系统仿真和综合设计,同时开设了控制系统仿真综合实验,30学时。为了配合实验教学,我们编写了综合实验指导书,主要参考控制系统仿真课程的教材《自动控制系统计算机仿真》、《控制系统数字仿真与CAD》、《反馈控制系统设计与分析——MATLAB语言应用》及《基于MATLAB/Simulink的系统仿真技术与应用》。

实验一MATLAB基本操作 实验目的 1.熟悉MATLAB实验环境,练习MATLAB命令、m文件、Simulink的基本操作。 2.利用MATLAB编写程序进行矩阵运算、图形绘制、数据处理等。 3.利用Simulink建立系统的数学模型并仿真求解。 实验原理 MATLAB环境是一种为数值计算、数据分析和图形显示服务的交互式的环境。MATLAB有3种窗口,即:命令窗口(The Command Window)、m-文件编辑窗口(The Edit Window)和图形窗口(The Figure Window),而Simulink另外又有Simulink模型编辑窗口。 1.命令窗口(The Command Window) 当MATLAB启动后,出现的最大的窗口就是命令窗口。用户可以在提示符“>>”后面输入交互的命令,这些命令就立即被执行。 在MATLAB中,一连串命令可以放置在一个文件中,不必把它们直接在命令窗口内输入。在命令窗口中输入该文件名,这一连串命令就被执行了。因为这样的文件都是以“.m”为后缀,所以称为m-文件。 2.m-文件编辑窗口(The Edit Window) 我们可以用m-文件编辑窗口来产生新的m-文件,或者编辑已经存在的m-文件。在MATLAB 主界面上选择菜单“File/New/M-file”就打开了一个新的m-文件编辑窗口;选择菜单“File/Open”就可以打开一个已经存在的m-文件,并且可以在这个窗口中编辑这个m-文件。 3.图形窗口(The Figure Window) 图形窗口用来显示MATLAB程序产生的图形。图形可以是2维的、3维的数据图形,也可以是照片等。 MATLAB中矩阵运算、绘图、数据处理等内容参见教材《自动控制系统计算机仿真》的相关章节。 Simulink是MATLAB的一个部件,它为MATLAB用户提供了一种有效的对反馈控制系统进行建模、仿真和分析的方式。 有两种方式启动Simulink:

电工学实验指导书汇总Word版

电工学实验指导书 武汉纺织大学 实验一直流电路实验 (1)

实验二正弦交流电路的串联谐振 (4) 实验三功率因数的提高 (6) 实验四三相电路实验 (9) 实验五微分积分电路实验 (12) 实验六三相异步电动机单向旋转控制 (14) 实验七三相异步电动机正、反转控制 (16) 实验八单相桥式整流和稳压电路 (18) 实验九单管交流放大电路 (19) 实验十一集成运算放大器的应用 (24) 实验十二组合逻辑电路 (26) 实验十三移位寄存器 (29) 实验十四十进制计数器 (33)

实验一直流电路实验 一、实验目的: 1.验证基尔霍夫定律 2.研究线性电路的叠加原理 3.等效电源参数的测定 二、实验原理: 1.基尔霍夫定律是电路理论中最重要的定律之一,它阐明了电路整体结构必须遵守的定律,基尔霍夫定律有两条即电流定律和电压定律。 电流定律:在任一时刻,流入电路中任一节点的电流之和等于流出该节点的电流之和,换句话来说就是在任一时刻,流入到电路中任一节点的电流的代数和为零,即∑I=0。 电压定律:在任一时刻,沿任一闭合回路的循行方向,回路中各段电压降的代数和等于零,即 ∑U=0。 2.叠加原理:n个电源在某线性电路共同作用时,它们在电路中任一支路中产生的电流或在任意两点间所产生的电压降等于这些电源单独作用时,在该部分所产生的电流或电压降的代数和。三、仪器设备及选用组件箱: 1.直流稳压电源 GDS----02 GDS----03 2.常规负载 GDS----06 3.直流电压表和直流电流表 GDS----10 四、实验步骤: 1.验证基尔霍夫定律 按图1—1接线,(U S1、U S2分别由GDS---02,GDS---03提供)调节U SI=3V,U S2=10V,然后分别用电流表测取表1—1中各待测参数,并填入表格中。 2.研究线性电路的叠加原理 ⑴将U S2从上述电路中退出,并用导线将c、d间短接,接入U S1,仍保持3V,测得各项电流,电压,把所测数据填入表1—2中;

仿真实验指导书

实验一MATLAB的实验环境及基本命令 一实验目的: 1.学习了解MA TLAB的实验环境 2.在MA TLAB系统命令窗口练习有关MA TLAB命令的使用。 二实验步骤 1.学习了解MA TLAB的实验环境: 在Windows桌面上,用mouse双击MA TLAB图标,即可进入MA TLAB系统命令窗口: 图1-1 MA TLAB系统命令窗口 ①在命令提示符”>>”位置键入命令: help

此时显示MA T ALAB 的功能目录, 其中有“Matlab\general ”,“toolbox\control ”等;阅读目录的内容; ② 键入命令: intro 此时显示MA TLAB 语言的基本介绍,如矩阵输入、数值计算、曲线绘图等。要求阅读命令平台上的注释内容,以尽快了解MA TLAB 语言的应用。 ③ 键入命令: help help 显示联机帮助查阅的功能,要求仔细阅读。 ④ 键入命令: into 显示工具箱中各种工具箱组件和开发商的联络信息。 ⑤ 键入命令: demo 显示MA TLAB 的各种功能演示。 2. 练习MA TLAB 系统命令的使用。 ① 表达式 MA TLAB 的表达式由变量、数值、函数及操作符构成。实验前应掌握有关变量、数值、函数及操作符的有关内容及使用方法。 练习1-1: 计算下列表达式: 要求计算完毕后,键入相应的变量名,查看并记录变量的值。 ②.向量运算: ) 6 sin(/250π =d 2 /)101(+=a ) sin(3.2-=e c i b 53+=

n 维向量是由n 个成员组成的行或列数组。在MA TLAB 中,由分号分隔的方括号中的元素产生一个列向量;由逗号或空号分隔的方括号中的元素产生一个列向量;同维的向量可进行加减运算,乘法须遵守特殊的原则。 练习1-2 已知:X=[2 ;-4;8] 求 :Y=R ';P=5*R ;E=X .*Y ;S=X '* Y 练习1-3 ⑴产生每个元素为1的4维的行向量; ⑵产生每个元素为0的4维的列向量; ⑶产生一个从1到8的整数行向量,默认步长为1; ⑷产生一个从π到0,间隔为π/3的行向量; ③矩阵基本运算操作。 要求熟悉矩阵的输入方法及矩阵运算的有关命令。 练习1-4求出下列运算结果,并上机验证。已知矩阵: (1) A (:,1) (2)A (2,:) (3)A (:,2:3) (4)A (2:3,2:3) (5) A (:,1:2:3) (6)A (2:3) (7)A (:) (8)A (:,:) (9) ones(2,2) (10)eye(2) (11)[A,[ones(2,2);eye(2)]] (12)diag(A) (13)diag(A,1) (14)diag(A,-1) (15)diag(A,2) (16)fliplr(A) (17)flipud(A) (18)rot90(A) (19)tril(A) ] 5,9,4 [-=π tg R ????? ???????=4443 4241 343332312423222114131211 A

电工技术实验指导书..

目录 项目一基尔霍夫定律 (1) 项目二三相交流电路 (3) 项目三常见低压电器的识别、安装和运用 (5) 项目四三相异步电动机具有过载保护自锁控制线路 (7) 项目五三相异步电动机的正反转控制 (9) 项目六三相异步电动机Y-△减压起动控制 (11) 项目七模拟照明线路安装 (13)

项目一基尔霍夫定律 一、实验目的 1、学会直流电压表、电流表、万用表的使用; 2、学习和理解基尔霍夫定律; 3、学会用电流插头、插座测量各支路电流; 二、原理说明 基尔霍夫定律是电路的基本定律。测量某电路各支路电流及每个元件两端的电压,应能分别满足基尔霍夫电流定律(KCL)和电压定律(KVL)。即对电路中任一个节点而言,应有ΣI=0;对任何一个闭合回路而言,应有ΣU=0。运用上述定律时必须注意各支路或闭合回路中电流正方向,此方向可预先任意设定。 三、实验设备 表1-1 四、实验内容与步骤 (一)基尔霍夫定律 实验线路如图1-1所示。 图1-1

1、实验前先任意设定三条支路的电流参考方向,如图中的I1、I 2、I3,并熟悉线路结构,掌握各开关的操作使用方法。 2、熟悉电流插头的结构,将电流插头的两端接至数字毫安表的“+、-”两端。 3、分别将两路直流稳压源(一路如E1为+12V;另一路,如E2接0~30V可调直流稳压源接入电路)接入电路,令E1 =12V,E2 =6V;然后把开关K1打置左边、K2打置右边(E1和E2共同作用)。 4、将电流表插头分别插入AB、BC、BD三条支路的三个电流插座中,读出并记录电流值。(注意另外两个未测量支路的缺口要用导线连接起来) 5、用万用表分别测量两路电源及电阻元件上的电压值,分别记录在表1-1中。(注意电路中三个未测量支路电流缺口均要用导线连接起来)表1-1 五、实验注意事项 1、所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2、防止电源两端碰线短路。 3、若用指针式电流表进行测量时,要识别电流插头所接电流表时的“+、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4、用电流插头测量各支路电流时,应该注意仪表的极性,及数据表格中“+、-”号的记录。 5、注意仪表量程的及时更换。

机电控制技术系统仿真综合实验指导书

机电控制技术 系统仿真综合实验指导书 南京工业职业技术学院 机械工程系 2008年2月

实验一MATLAB基本操作 实验目的 1.熟悉MATLAB实验环境,练习MATLAB命令、m文件、Simulink的基本操作。 2.利用MATLAB编写程序进行矩阵运算、图形绘制、数据处理等。 3.利用Simulink建立系统的数学模型并仿真求解。 实验原理 MATLAB环境是一种为数值计算、数据分析和图形显示服务的交互式的环境。MATLAB 有3种窗口,即:命令窗口(The Command Window)、m-文件编辑窗口(The Edit Window)和图形窗口(The Figure Window),而Simulink另外又有Simulink模型编辑窗口。 1.命令窗口(The Command Window) 当MA TLAB启动后,出现的最大的窗口就是命令窗口。用户可以在提示符“>>”后面输入交互的命令,这些命令就立即被执行。 在MA TLAB中,一连串命令可以放置在一个文件中,不必把它们直接在命令窗口内输入。在命令窗口中输入该文件名,这一连串命令就被执行了。因为这样的文件都是以“.m”为后缀,所以称为m-文件。 2.m-文件编辑窗口(The Edit Window) 我们可以用m-文件编辑窗口来产生新的m-文件,或者编辑已经存在的m-文件。在MATLAB主界面上选择菜单“File/New/M-file”就打开了一个新的m-文件编辑窗口;选择菜单“File/Open”就可以打开一个已经存在的m-文件,并且可以在这个窗口中编辑这个m-文件。 3.图形窗口(The Figure Window) 图形窗口用来显示MA TLAB程序产生的图形。图形可以是2维的、3维的数据图形,也可以是照片等。 MA TLAB中矩阵运算、绘图、数据处理等内容参见教材《机电控制技术》P18-26。 Simulink是MATLAB的一个部件,它为MA TLAB用户提供了一种有效的对反馈控制系统进行建模、仿真和分析的方式。 有两种方式启动Simulink:

电工学实验

实验一基尔霍夫定律的验证 一.实验目的 1.验证基尔霍夫定律,加深对基尔霍夫定律的理解。 2.掌握直流电流表的使用以及学会用电流插头、插座测量各支路电流的方法。 3.学习检查、分析电路简单故障的能力。 二.原理说明 基尔霍夫定律: 基尔霍夫电流定律和电压定律是电路的基本定律,它们分别描述结点电流和回路电压,即对电路中的任一结点而言,在设定电流的参考方向下,应有ΣI =0。一般流出结点的电流取负号,流入结点的电流取正号;对任何一个闭合回路而言,在设定电压的参考方向下,绕行一周,应有ΣU =0,一般电压方向与绕行方向一致的电压取正号,电压方向与绕行方向相反的电压取负号。 在实验前,必须设定电路中所有电流、电压的参考方向,其中电阻上的电压方向应与电流方向一致,见图1-1所示。 图1-1 三.实验设备 1.直流数字电压表、直流数字电流表; 2.恒压源(双路0~30V可调); 3.NEEL-003A组件。 四.实验内容 实验电路如图1-1所示,图中的电源U S1用恒压源I路0~+30V可调电压输出端,并将输出电压调到+6V,U S2用恒压源II路0~+30V可调电压输出端,并将输出电压调到+12V(以直流数字电压表读数为准)。开关S1 投向U S1 侧,开关S2 投向U S2 侧,开关S3 投向R3侧。 实验前先设定三条支路的电流参考方向,如图中的I1、I2、I3所示,并熟悉线路结构,

掌握各开关的操作使用方法。 1.熟悉电流插头的结构,将电流插头的红接线端插入数字电流表的红(正)接线端,电流插头的黑接线端插入数字电流表的黑(负)接线端。 2.测量支路电流 将电流插头分别插入三条支路的三个电流插座中,读出各个电流值。按规定:在结点A,电流表读数为‘+’,表示电流流入结点,读数为‘-’,表示电流流出结点,然后根据图1-1中的电流参考方向,确定各支路电流的正、负号,并记入表1-1中。 表1-1 支路电流数据 3.测量元件电压 用直流数字电压表分别测量两个电源及电阻元件上的电压值,将数据记入表1-2中。测量时电压表的红(正)接线端应插入被测电压参考方向的高电位端,黑(负)接线端插入被测电压参考方向的低电位端。 表1-2 各元件电压数据 五.实验注意事项 1.所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2.防止电源两端碰线短路。 3.若用指针式电流表进行测量时,要识别电流插头所接电流表的“+、-”极性,倘若不换接极性,则电表指针可能反偏而损坏设备(电流为负值时),此时必须调换电流表极性,重新测量,此时指针正偏,但读得的电流值必须冠以负号。 六.预习与思考题 1.根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表2-2中,以便实验测量时,可正确地选定毫安表和电压表的量程; 2.在图1-1的电路中,A、D两结点的电流方程是否相同?为什么? 3.在图1-1的电路中可以列几个电压方程?它们与绕行方向有无关系? 4.实验中,若用指针万用表直流毫安档测各支路电流,什么情况下可能出现毫安表指针反偏,应如何处理,在记录数据时应注意什么?若用直流数字毫安表进行测量时,则会有什么显示呢?

模电仿真实验1

实验1:EWB仿真软件练习 ——晶体三极管放大电路特性研究 一、实验内容 1. 创建如图1.1所示的实验电路,并为元器件标识,参数设置。 2. 测量静态工作点I BQ、I CQ、U CEQ,用示波器测量电压放大倍数U A,用波特图仪测量频率特性,测量通频带BW。 3. 调节Rp1、Rp2 ,用示波器观察因工作点的改变而引起的输出波形失真。重新调节Rp1、Rp2恢复原值,使波形失真消除。 4.利用参数扫描功能,分析Co从0.1μF到100μF变化时对f1的影响。 二、仿真实验 1. 创建电路, 给电路中的全部元器件按图要求标识,参数设置,然后单击Circuit/Schematic Options出现对话框,在“Display”选项框内,勾选“Show Notes”,这时EWB 自动给各节点编号,并显示在电路图上。 图1.1 晶体三极管放大电路特性研究实验电路 2. 给虚拟仪器设置参数 电压表 Mode:DC Resistance:100MΩ(考虑三级管输入电阻较高,为减小误差取高内阻)

电流表 Mode:DC Resistance:取默认值1nΩ 函数发生器 波形:正弦波 Frequency:1KHz Duty cycle:50% Amplitude:50mV Offset:0 示波器 Time base:0.50ms/div “X/T”显示方式 Channel A:50mV/div y position:0.00 “AC”工作方式 Channel B:500mV/div y position:0.00 “AC”工作方式 Trigger:“Auto”方式 Channel A 输入线设为黑色,Channel B输入线设为红色,则输入信号波形为黑色,输出信号波形为红色。 波特图仪 幅频特性 Vertical: log F:60dB I:0dB Horizontal: log F:1GHz I:1Hz 相频特性 Vertical: log F:360度 I: -360度 Horizontal: log F: 1GHz I: 1Hz 3. 单击“O/I”开关,运行电路,再单击“Parse”按钮,暂停运行。 ⑴. 从电压表、电流表读出静态工作点的值为: I B=19.76μA I C=2.064mA V CE=V C-V E=9.940V-1.102V=8.838V ⑵. 双击示波器图标,打开示波器面板,单击“Expand“扩展面板,观察到波形如图1.2,拖拽读数指针,测得: U A=V OP—P / V IP—P =-1.3674V / 98.196mV=-13.9 图1.2 输入输出电压波形

《企业管理综合仿真实训》实训指导书

企业管理综合仿真实训 实 训 指 导 书 编写:鲍桑 2017年 6 月

编写说明 1.实训总体目标 “企业管理综合仿真实训”是讲授企业经营管理的实训课程。它采用一种全新的授课方法,课程的开展就是针对一个模拟企业,把企业能赢所处的内外部环境定义为一系列的规则,由受训者组成三个供应商,六个制造商相互竞争的模拟企业,通过模拟企业一年的经营,使受训者在分析市场、制定战略、营销策划、组织生产、财务管理等一系列活动中,参悟科学的管理规律,全面提升管理能力。 2.适用专业 工商管理、人力资源管理 3.先修课程 《供应链管理》、《财务管理》、《基础会计》、《生产管理》 4.实训课时分配 实训项目实训内容课时 创建模拟公司1.组建供应商公司 2.组建生产商公司 3.组建管委会 4.组建其他职能机构 4 报价获取订单1.各组人员分工 2.投放产品报价及广告费用 3.开展商品订货会PPT制作 4 预生产1月份订单1.锁定订单排名并发放订单 2.各组计算产能、人员招聘 3.生产商、制造商采购、运输合同签订;管委会机构(税务、 银行、运输、外贸、客户)系统确认 4.生产前准备 4 生产2-6月订单1.k/3系统凭证分录录入 2.生产排程检测,确定生产进度 3.根据“看板式”管理确认原材料、仓库、运输车辆、各组 人员、资金情况,合理调度。 4.各机构K/3系统输入和手工操作 4 制作财务报表及手 工凭证1. 订单商品出库、运输、交货、银行汇兑 2. 组内信息汇总完成手工填写内容 3. 清仓、对账 4 合计20

5.实训环境 企业管理综合仿真实训在专业的实训室完成,该实训室共有12组实训场景,共计电脑30台。 6.实训总体要求 企业管理综合仿真实训是集知识性、趣味性、对抗性于一体的企业管理技能训练课程。受训学生被分成若干个团队,每个团队由若干个学生组成,每个学生将担任总经理、营销总监、生产总监、财务总监、供应总监等。每个团队经营一个拥有销售良好、资金充裕的虚拟公司,连续从事1个会计年度的经营活动。通过仿真模拟企业实际运行状况,内容涉及企业整体战略、产品研发、生产、市场、销售、财务管理、团队协作等多方面,让学员在游戏般的训练中体验完整的企业经营过程,感受企业发展的典型历程,感悟正确的经营思路和管理理念。在短短一周的训练中,学员将遇到企业经营中常出现的各种典型问题,他们必须一同发现机遇,分析问题,制定决策,保证公司成功及不断成长。

电工实验指导书

电工实验指导书

电路(电工技术)实验指导书 苏州大学应用技术学院 机电系

电路(电工技术)实验指导书 电路实验教学作为专业基础实践课程的入门,适用于电气、自动化、仪器和计算机专业等学生,以电气、自动化类学生拓宽专业培养口径为立足点,依循电工电子学科与相关学科知识和基础技术交融的特点,突出强电与弱电的结合,电路理论基础与电工测量技术的结合,由浅入深、循序渐进,掌握电子设备仪表的使用方法,完成电路实际测量和分析。 电路实验课程作为电类学生的实践教学环节之一,其建设目标是:以学生为主体,以能力和素质培养为主线,注重发挥学生的学习潜能,在宽口径专业教育引导下,夯实基础、注重实践、引导创新,培养既要脚踏实地,又要出类拔萃的工程科技人才。 实验内容 (1)基尔霍夫定律。 3学时 (2)戴维南定理和诺顿定理。 3学时 (3)RLC串、并联谐振电路。 3学时

实验一基尔霍夫定律 一、实验目的 (1)加深对基尔霍夫定律的理解。 (2)学习验证定律的方法和仪器仪表的正确使用。 二、实验原理及说明 基尔霍夫定律是集总电路的基本定律,包括电流定律(KCL)和电压定律(KVL)。 基尔霍夫定律规定了电路中各支路电流之间和各支路电压之间必须服从的约束关系,无论电路元件是线性的或是非线性的,时变的或是非时变的,只要电路是集总参数电路,都必须服从这个约束关系。 (1)基尔霍夫电流定律(KCL)。在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零,即∑i=0。一般约定:流出节点的支路电流取正号,流入节点的支路电流取负号。 (2)基尔霍夫电压定律(KVL)。在集总电路中,任何时刻,沿任一回路所有支路电压的代数和恒等于零,即沿任—回路有∑u=0。在写此式时,首先需要任意指定一个回路绕行的方向。凡电压的参考方向与回路绕行方向

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

系统建模及仿真实验指导书(10级)

《系统建模与仿真实验设计与指导》机电工程学院电气工程及自动化实验室 2013年3月 目录 基础实验(一)控制系统建模及稳定性分析 基础实验(二)控制系统的数字仿真 基础实验(三)控制系统的时域分析 基础实验(四)控制系统的频域分析 综合实验(五)控制系统的设计 实验说明: 通过本课程的实验教学,学生应熟练掌握MATLAB语言的程序设计与使用。掌握MATLAB软件实现控制系统数学模型的建立、变换和稳定性分析;控制系统的数字仿真;控制系统的时域、频域分析;控制系统设计。通过实验对所学的专业理论知识有更深入的理解和认识,从而具备解决自动化及相关专业领域中实际系统分析、设计与综合等问题的能力。 实验报告要求给出具体的MATLAB程序和简要的实验总结。 实验一 控制系统建模及稳定性分析 一、实验目的 1.掌握Matlab中系统模型描述相关命令函数及使用; 2.掌握系统模型变换; 3.掌握Matlab中不同方法的系统稳定性分析。 二、实验内容 1.系统数学模型建立与转换 2.控制系统稳定性分析 三、实验步骤 1.系统数学模型建立

2. 系统数学模型转换 3. 控制系统稳定性分析 给定SISO 系统输入为“flow”,输出为“Temp”,传递函数为 使用MATLAB 表示该传递函数 ()22321.32 2.5e ()0.5 1.21 s s s G s s s s -++=+++ 将状态空间模型 转换为传递函数和零 极点增益模型。 R ) 已知控制系统结构图如图所示,

实验二 控制系统数字仿真一、实验目的 掌握Matlab中典型闭环系统的数字仿真; 二、实验内容 典型闭环系统的数字仿真MATLAB实现 三、实验步骤 求如图所示系统的阶跃响应y(t)的数值解。

电工学实验教材

《电工学实验指导书》 康小麓盛智勇 北方工业大学 2011年9月

目录 实验课纪律 (2) 实验预习报告要求 (3) 实验一迭加定理和戴维南定理 (5) 实验二串联电路的谐振 (9) 实验三一阶电路的过渡过程 (12) 实验四常用仪器仪表的使用 (16) 实验五运算放大器线性应用设计 (20) 实验六基本组合逻辑门电路及应用设计 (23) 实验七数字组合逻辑与时序电路 (25)

实验课纪律 1. 实验课必须严肃认真,不得无故缺席、迟到,不得做与 实验无关的事,不得喧哗、打闹。 2. 每一人为一实验小组,到指定实验台进行实验。不得擅 自取用、操作其余与本次实验无关的仪器设备。 3. 严格按安全操作规程操作,强电实验,严禁带电触摸带 电体。同组人员互相配合,通电时要提醒在场人员,防止触电事故。 4. 实验过程中出现异常情况,首先迅速切断电源,保护现 场,及时汇报。 5. 要认真听讲,有问题及时请教指导老师。正确使用仪器 仪表,接线后要先自行检查,经教师检查后方可通电实验。认真记录实验现象、数据。 6. 实验结束后,先请指导教师检查实验结果,再拆线,整 理现场,经教师批准才可离开。 7. 严重违反纪律、不听劝阻者,取消实验资格,因违反纪 律而造成事故或损失的,要追究责任。 电工学实验室

实验预习报告要求 实验前必须认真阅读实验讲义,理解实验内容,写出实验预习报告。实验完成后写出实验报告,报告书写要清楚,字迹要端正,电路图中所画的元件、符号要符合国家标准,元件参数应符合系列化标准,曲线要画工整。 预习报告内容: ①实验名称 ②实验目的 ③实验电路及使用设备 ④实验注意事项 ⑤实验讲义中“理论值”的计算结果。 实验报告内容: 1. 在预习报告的基础上,认真整理和处理测试数据,列出表格或画出曲线,并回答讲义中的思考题。 2. 对测试结果进行理论分析,找出误差原因及改进措施。 3. 对本次实验的心得体会和意见,以及改进实验的建议。 4. 实验过程中遇到哪些故障或问题,进行故障分析,说明排除故障的过程和方法。

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015.12.21

实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量是指在接通电源电压后放大器输入端不加信号(通过隔直电容将输入端接地)时,测量晶体管集电极电流I CQ和管压降V CEQ。其中集电极电流有两种测量方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C两端的电压,再求出R C两端的压降,根据已知的R E的阻值,计算I CQ。 输出波底失真为饱和失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻是从输入端看进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻是从输出端看进去的等效电阻,输出电阻也用间接法进行测量。 实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。

实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。

实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容和晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0.707倍时,对应的低频和高频频率分别对应下限频率和上限频率。 通频带为: f BW=f H-f L 实验电路:

东北林业大学 系统建模与仿真实验指导书

《系统建模与仿真实验设计与指导》 机电工程学院电气工程及自动化实验室 2013年3月

目录 基础实验(一)控制系统建模及稳定性分析 基础实验(二)控制系统的数字仿真 基础实验(三)控制系统的时域分析 基础实验(四)控制系统的频域分析 综合实验(五)控制系统的设计 实验说明: 通过本课程的实验教学,学生应熟练掌握MATLAB语言的程序设计与使用。掌握MATLAB软件实现控制系统数学模型的建立、变换和稳定性分析;控制系统的数字仿真;控制系统的时域、频域分析;控制系统设计。通过实验对所学的专业理论知识有更深入的理解和认识,从而具备解决自动化及相关专业领域中实际系统分析、设计与综合等问题的能力。 实验报告要求给出具体的MATLAB程序和简要的实验总结。

控制系统建模及稳定性分析 一、 实验目的 1. 掌握Matlab 中系统模型描述相关命令函数及使用; 2. 掌握系统模型变换; 3. 掌握Matlab 中不同方法的系统稳定性分析。 二、 实验内容 1. 系统数学模型建立与转换 2. 控制系统稳定性分析 三、 实验步骤 1. 系统数学模型建立 P87 2. 系统数学模型转换 P99 3. 控制系统稳定性分析 P170 给定SISO 系统输入为“flow”,输出为“Temp”,传递函数为 使用MATLAB 表示该传递函数 () 22321.32 2.5e ()0.5 1.21 s s s G s s s s -++= +++ 将状态空间模型 转换为传递函数和零 极点增益模型。 []0100001052011100???? ????=+??? ?????---????= x x u y x R ) 已知控制系统结构图如图所示, 求取系统的闭环极点,并判别闭环系统的稳定性。

《电工学》实验指导书

《电工学》实验指导书

实验一 戴维宁定理 一、实验目的 1.加深对戴维宁定理的理解; 2.学习有源二端网络等效电动势和等效内阻的测量方法; 3.熟悉稳压电源、数字万用表的使用; 二、实验器材 1.数字万用表 一块 2.直流稳压电源 两台 3.电阻 若干只 4.导线 若干根 5.面包板 两块 三、实验原理简述 任何一个线性有源二端网络都可以用一个电动势为E 、内阻为R 0 的等效电压源代替。如图1-1所示。等效电压源的电动势E 就是有源二端网络的开路电压U OC ,如图1-2(a )所示。等效电压源的内阻R O 就是有源二端网络除源后(有源二端网络变为无源二端网络)两端之间的等效电阻,如图1-2(b )所示。除源是指将原有源二端网络内所有电源的作用视为零,即将理想电压源视为短路、理想电流源视为开路。 (a )原电路 (b )戴维宁等效电路 图1-1 戴维宁等效电路 (a )开路电压 (b )等效电阻 图1-2 等效量的求解 在电路分析中,若只需计算某一支路的电流和电压,应用戴维宁定理就十分方便。只要将该待求支路划出,其余电路变为一个有源二端网络,根据戴维宁定理将其等效为一个电压源,如图1-1(b )所示。只要求出等效电压源的电动势E 和内阻R O ,则待求支路电流即为 L R R E I += 四、实验内容和步骤 1.实验电路连接及参数选择

实验电路如图1-3所示。由R1、R2 和R3 组成的T 型网络及直流电源U S 构成线性有源二端网络。可调电阻箱作为负载电阻R L。 图1-3 验证电路 在实验台上按图1-3所示电路选择电路各参数并连接电路。参数数值及单位填入表1-1中。 根据图1-3给出的电路及实验步骤1 所选择参数计算有源二端网络的开路电压U OC、短路电流I SC 及等效电阻R O 并记入表1-2中。 图1-4测开路电压U OC 图1-5 测短路电流I SC (1)开路电压U OC 可以采用电压表直接测量,如图1-4所示。 直接用万用表的电压档测量电路中有源二端网络端口(N-P)的开路电压U OC,见图1-4,结果记入表1-2中。 (2)等效内阻R O 的测量可以采用开路电压、短路电流法。 当二端网络内部有源时,测量二端网络的短路电流I SC,电路连接如图1-5 所示,计算等效电阻R O= U OC/ I SC,结果记入表1-2中。 表1-2 开路电压、短路电流及等效电阻R O 实验记录

模电PSPICE仿真实验报告

实验一晶体三极管共射放大电路 实验目的 1、 学习共射放大电路的参数选取方法。 2、 学习放大电路静态工作点的测量与调整,了解静态工作点对放大电路性能的影响。 3、 学习放大电路的电压放大倍数和最大不失真输出电压的分析方法 4、 学习放大电路数输入、输出电阻的测试方法以及频率特性的分析方法。 、实验内容 确定并调整放大电路的静态工作点。 为了稳定静态工作点,必须满足的两个条件 条件一: 条件二: I 1>>I BQ V>>V BE I I =(5~10)I B V B =3~5V R E 由 V B V BE V B 再选定 I EQ I CQ 计算出Re R b2 I I ,由 V B V B I I (5~10)I B Q 计算出 m - Vcc V B R b1 再由 V CC V B (5~10)I BQ 计算出 Ri

Time 从输出波形可以看出没有出现失真,故静态工作点设置的合适。 改变电路参数: V1 12Vdc Rc 此时得到波形为: 400mV 200mV 0V -200mV 450us 500us 75k 3k 4.372V R2 50k Q1 Q2N2222 Re 2.2k C2 T 一 6.984V 10uF 彳Ce 100uF

2.0 V -4.0V 0s 50us 100us 口V(C2:2) V(C1:1) 150us 200us 250us 300us 350us 400us 450us 500us Time 此时出现饱和失真。 当RL开路时(设RL=1MEG Q)时: V1 输出波形为:

4.0V -4.0V 出现饱和失真 二、实验心得 这个实验我做了很长时间,主要是耗在静态工作点的调试上面。按照估计算出的Rb1、Rb2、Re的值带入电路进行分析时,电路出现失真,根据其失真的情况需要不停的调 节Rb1、Rb2和Re的值是电路输出不失真。 实验二差分放大电路 -、实验目的 1、学习差分放大电路的设计方法 2、学习差分放大电路静态工作的测试和调整方法 3、学习差分放大电路差模和共模性能指标的测试方法 二、实验内容 1. 测量差分放大电路的静态工作点,并调整到合适的数值。

相关主题