搜档网
当前位置:搜档网 › 数字密码锁数电课程设计报告书

数字密码锁数电课程设计报告书

数字密码锁数电课程设计报告书
数字密码锁数电课程设计报告书

等级:

课程设计

课程名称数字电子技术

课题名称数字密码锁

专业电气工程及其自动化

班级

学号

姓名

指导老师

2015年12 月23 日

报告撰写要求(此页不打印)

课程设计报告是体现课程设计成果的载体,具体要求如下:

1、课程设计报告的基本格式

(1)说明书统一使用word文档打印,A4纸张,页边距设置为:上2cm,下2cm,左2.54cm,右2cm。

(2)正文采用宋体小四,行间距20磅;1级标题采用黑体小三,2级标题采用黑体四号,3级标题采用黑体小四;1和2级标题上下间距为0.5行。

(3)图表需统一编号,图标标题采用黑体五号;图标题在图片下方,表格标题在表格上方。

(4)装订顺序为:封面、任务书、报告正文、评分表。

2、课程设计报告的撰写要求

(1)设计报告正文内容为6-10页为宜,主要内容为自己的设计思路、设计步骤、关键性步骤的记录、重要结果的记录以及自己本次课程设计的总结。报告撰写要求思路清晰、结构合理、层次清晰,报告简洁但又要能体现设计过程。

(2)报告中图表要求清晰、规范,图表的尺寸大小适当。

(3)课程设计报告内容(仅供参考):

一、课程设计的任务要求:本次课程设计的任务要求,对照任务书中的任务要求。

二、设计方案:对任务进行分析,提出任务的可行实现思路,包括系统框图,各子模块之间的协同工作方式(800字)。

三、单元电路(子模块,子程序)分析:绘制各子模块电路图,详细分析其工作原理,包括信号的流向,电路工作条件,数据处理,程序流程图,有限状态机工作原理,预期结果,必要时有子模块的仿真结果分析。报告中必须有6-8个主要子模块分析,每个子模块分析不少于200字。不得拷贝元件说明书。(2000字)

四、系统仿真/测试:对采用FPGA开发板的同学,必须设计系统的测试方案,编写测试程序,打印仿真波形,分析仿真结果(600字))

五、电路安装调试:电路的安装,调试步骤,调试过程中遇到的问题是什么?是如何解决的?调试结果(包括运行数据,图片)(800字)

六、元件清单:所用元件列表,或所用FPGA资源

七、总结:整个课程设计过程中,你觉得学习到了什么,课程设计过程中自己做的不足的地方有哪些?对自己的学习有何启示?希望课程设计过程或者指导老师如何改进?……(500字)

电气信息学院

课程设计任务书

课题名称数字密码锁

姓名专业班级学号

指导老师

课程设计时间2015年12 月14 日- 2015 年12 月23日

一、任务及要求

课程设计任务可采用数字电路实验台,试验箱、单片机或FPGA开发板(由指导老师安排)完成。具体要求如下:

1.任务:

1)设定的密码为四位,由“1~8”八个数字组成,当输入密码正确时,发出开锁信号;密码错误时,发出报警信号,报警时间为35秒;2)输入密码后30秒,若无开锁信号,则电路进入自锁状态,并发出报警信号;3)用绿色发光二极管亮表示开锁,红色发光二极管亮(或扬声器发声)表示报警。4)功能扩展:电路具有消抖功能,或者功能扩展自选。

2. 要求:

1)思路清晰,给出整体设计框图;2)完成各单元电路设计,给出总电路图、multisim 软件仿真验证结果;3)安装调试电路,得出测试结果;4).用A4纸写出设计报告二、进度安排

第一周:

周一:布置任务,查找资料;

周二~周三:设计系统方案,仿真;

周四~周日:领元器件,电路安装,或程序调试;

第二周:

周一~周二:电路安装,调试,运行或程序调试,下载运行;

周三:结果验收;

周四:收元器件,整理实验室,撰写报告,答辩;

周五:资料整理。

三、参考资料

1、《数字电子技术》第五版高等教育出版社

-------------------阎石主编

2、《电子技术与EDA技术实验实验及仿真》

------------- 孙胜麟、郭照南主编

目录

一、课程设计的任务要求 (1)

二、设计方案 (2)

三、单元电路(子模块)分析 (2)

3.1 防抖开关的设计 (2)

3.2 密码的存储与读取电路 (3)

3.3密码的比较电路. (5)

3.4 35秒报警电路的设计 (6)

3.5 30秒自锁电路 (7)

四、系统仿真/测试 (8)

五、电路安装调试 (9)

六、元件清单 (10)

七、总结 (11)

一、课程设计的任务要求:

1.任务:

1)设定的密码为四位,由“1~8”八个数字组成,当输入密码正确时,发出开锁信号;密码错误时,发出报警信号,报警时间为35秒;2)输入密码后30秒,若无开锁信号,则电路进入自锁状态,并发出报警信号;3)用绿色发光二极管亮表示开锁,红色发光二极管亮(或扬声器发声)表示报警。4)功能扩展:电路具有消抖功能,或者功能扩展自选。

2. 要求:

1)思路清晰,给出整体设计框图;2)完成各单元电路设计,给出总电路图、multisim 软件仿真验证结果;3)安装调试电路,得出测试结果;4).用A4纸写出设计报告

二、设计方案:

根据本次课程设计的任务要求,结合所学知识及实验室实际可提供的芯片我们可以将本次数字密码锁课题的整体电路细分为以下几个模块的电路,即密码的存储读取电路,密码的比较电路,计时报警电路,计时自锁电路以及拨键开关的防抖电路。整个电路的设计系统框图设计如下:

图1 整体电路系统框图

根据系统框图,对密码的存储读取电路我们可以用分别用一片74LS148,74LS160,RAM2114(仿真时由于软件原因用HM6116代替)来实现。其中74LS148芯片主要起编码作用,即在输入端分别连接好8个拨码开关,根据拨码开关的开断情况(每次只拨动一个开

关)使输出端产生相应的二进制编码;74LS160主要起给定地址的作用,电路在第一次存入密码时,每一个密码产生的相应二进制编码在存入存储器时都需要首先给定相应的地址才能存储成功。RAM2114则主要起存储编码和输出编码的作用,即实现“读”和“写”的功能,在第一次设置密码时存入用户设置的4位密码的二进制编码,在用户正式使用输入密码时输出之前存入的密码编码。

对密码的比较电路,本次课程设计我们使用了74LS85四位数值比较器芯片。在芯片的输入端分别与编码器和存储器的编码输出端相连。

对计时报警电路和计时自锁电路,本次课程设计均选择使用两片十进制同步计时器74LS160芯片,分别连接成一个30进制和35进制的计数器来实现。按照要求,应在用户开始输入第一个密码时开始30秒的计时电路,若用户未能在30秒内输入正确密码,计时器应该输入相应信号使得编码器EI端电平由低电平变为高电平,即关闭编码器的编码功能实现自锁。在用户输错密码时,系统开始维时35秒的报警计时并同时给报警信号,在35秒后或者用户输入正确的密码使系统发出开锁信号时报警计时方终止。

三、单元电路(子模块)分析:

3.1 防抖开关的设计

图2 拨码开关的消抖电路

在实际接连线路时,当用户拨动拨码开关时,拨码开关开关不可避免的会产生一些机械抖动,从而使输出端产生不规则的震颤脉冲输出,因此,电路中必须要消除机械开关的抖动,避免影响正常的功能实现。如图所示,本次课程设计通过使用RS锁存器,来实现

消除机械开关抖动。RS锁存器的功能表特性如下:

表1 SR锁存器的真值表

S’R’Q Q*

1 1 0 0

1 1 1 1

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 0

3.2 密码的存储与读取电路

图1 密码的存储与读取电路

如图,密码的存储电路由编码器芯片74LS148,8个拨码开关以及计数芯片74LS160相连接组成。一方面,在用户每次拨动开关时由编码器产生相应的编码输入到

存储器的数据线(I/O1~I/O8)端口准备存储,另一方面在用户拨动开关时编码器的GS 端会产生相应的由高电平变为低电平的脉冲,将这一脉冲接入计数器74LS160的CLK 端,每当拨码开关动作一次时,计数器就会计数一次,与此同时产生的4个不同的地址输入存储器的地址端口。密码的存储时,应该要遵循先给地址,再存编码数据的原则,这样数据才能稳定的被存储。

74LS148 的功能表和引脚图如下:

图2 74LS148 的功能表和引脚图

HM6116 存储器的功能表如下

表2 HM6116的功能

C E O

E

W

E

方式I/O引脚

H X X

待用(未选

中)

高阻

3.3密码的比较电路

图3 密码的比较电路

密码的比较电路由芯片74LS85来实现功能。芯片的输入端口分别接从编码器编码输出端和存储器的数据输出端,以此来比较用户输入的密码和先前用户设置的密码。由74LS85的功能表可知,将选择使输入端的使能端A >B ,A <B 端接地,A=B 端接高电平时,即能使得芯片输出端口在比较两组编码为相同时产生相应脉冲对正确的编码次数进行计数,当输入四次正确时,触发开锁信号,绿灯亮;若比较为不同时红灯亮,即触发报警电路开始报警。

74LS85比较器的功能表如下:

L

L H 读出 Dout L X L 写入 Din

图4 74LS85的功能表

3.4 35秒报警电路的设计

图5 密码锁的35秒报警电路

当由比较电路产生“不等”信号(即输入的密码与先前用户设置的密码不同)时,开始启动报警电路。当产生报警信号时,经过一个锁存器将信号保存,与三态门的EN端链接,信号源开始不断给脉冲,当计数到35秒时,报警灯灭,三态门EN端呈高阻态,信号源停止将脉冲输入到计数器的CLK端,计数停止。另外,当用户在报警的35秒时间段内输入了正确的密码使产生了开锁信号时,报警也应该停止,可以用一个如图所示的三态门EN端前的与门来实现。

其中74LS160的功能表如下:

图6 74LS160的功能表和管脚图

3.5 30秒自锁电路

图7 密码锁的30秒自锁电路

根据要求,系统应该在用户输入密码(而不是设置密码)时输入第一个密码起,就开始30秒的计时,若30秒内系统没有产生开锁信号则会产生自锁,用户无法再输入密码。首先应在储存器的读写开关处设计逻辑关系,使得当用户正式输入密码(存储器为“读”状态)时,拨动第一个拨码开关,编码器GS输出端产生一个脉冲信号,经由一个锁存器保持这个信号,再将这个信连接到信号源前三态门的EN端,使得三态门处于导通状态,

30秒计数开始。若30秒内,用户输入了正确密码,系统产生开锁信号,30秒的计时停止;

30秒内,若系统无开锁信号,30秒时将产生一个脉冲与编码器74LS148的EI输入端相连,使得EI端由低电平变为高电平,编码器无法再次编码从而实现“自锁”功能。

四、系统仿真/测试:

将上述各模块电路连成整体后整体电路图如下:

图8 整体电路图

实际接线情况如下:

图9 实际接线图

五、电路安装调试:

本次课程设计中我们主要遇到了以下几个问题:

1)在实际调试的时候发现产生地址的74LS160计时器工作不能稳定工作,极容易发生跳变,给出错误的地址。

最后通过询问指导老师才了解到,在实际连线测试电路的时候,数字电路试验箱上的机械开关在合断时总不可避免的会产生机械抖动从而使输出端产生不规则的震颤脉冲输出,使得计数器在给地址时发生跳变或者给出错误的地址,因此需要在拨码开关处用RS 锁存器做一个防机械开关抖动的电路。按照老师的指导要求增加一个防抖电路后发现问题得已解决。

2)进行模块测试的时候,发现密码总是不能正确的存储和读取,从而导致电路总是错误的产生开锁信号或者报警信号。

通过查询有关资料我们才了解到存储器在存储编码内容时,必须等上一个编码稳定存储之后才能再次给下一个新的地址存储下一个编码内容,因此在设计存储电路时不能使得编码数据和地址同时进入到储存器,而应该在编码数据输入之前先预置一个地址,按照此方法连接电路后发现密码锁存储与读取电路工作正常。

六、元件清单:

元器件数量

74LS148 1

七、总结:

通过这次的数字电子技术课程设计,我感觉自己收获良多,无论是数字电子技术的知识方面,还是培养自己动手能力方面。

在加深对数字电路知识理解方面,这次课程设计使得我第一次将平常在课堂上所学的理论知识完整的运用到了工程实际,使得我能够比平时的数电实验课更加全面和系统的运用我们平常在课堂上所学习过的各种芯片进行相关的逻辑连接实现相关的功能,了解到理论知识如何运用到实际工程上的过程,提高了我们对数字电路这门学科的兴趣的同时加深了我对数字电路如何实现各项功能的理解。

在培养实践能力方面,这次课程设计中我所选择的电子密码所这个课题无论是在仿真设计还是实际调试过程中,都遇到了许许多多的难题,有几个难题比如存储电路的设计这里由于一开始我和同组同学一起讨论研究了一两天,用multisim仿真软件仿真试验过很多很多次都没成功,于是情绪都很低落,感到有些挫败感,最后向指导老师李老师寻求帮助,李老师耐心的帮我们分析了我们电路设计,查出了我们设计的错误不足之处。最后李老师还语重心长的教导我们我们电气工程专业的学生以后无论是学习还是工程实践中会不断遇到诸如此类的一系列的难题,因此必须要培养自己的耐心,要有信心。这使得我们深刻认识到搞工程的过程实际上就是一个不断发现问题,然后解决问题的过程,所以遇到问题,我们不能退缩,而要迎难而上,勤查与问题相关的文献资料,多与同学老师交流,分析自己的设计思想与他人思想的差别之处,不断试验,直至解决困难。

在此我们也特别要感谢指导老师李老师,不仅给与我们学科知识上的细心指导,同时还给予了我们精神上的鼓励和指导。同时这里也要感谢一起参加本次课程设计的其他同学们,在完成本次课程设计的过程中我们遇到困难一起研究,调试过程遇到芯片的短缺问题能一起商量协调解决,正因为有了你们的互帮互助,我们才能一起顺利的完成此次的课程设计,也是我们懂得了“合作”在解决工程问题时候的重要性。

电子技术课程设计评分标准

数电自动打铃器课程设计

数字电子技术课程设计题目自动打铃器 姓名:___ XXXXXX ___ 所在学院:工学院 所学专业:_ 电气工程及其自动化 班级___ 电气工程XXXX 学号___ XXXXXXXXXXXXX 指导教师:_____ XXXXXX_ ___ 完成时间:____ 2XXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级: 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模电课程设计报告

模电课程设计实验报告课题:函数信号发生器 指导老师:________________ 学院:___________________ 班级:___________________ 姓名:___________________ 学号:___________________

日期:__________________ 一.设计目的与要求 1.1设计目的 1.设计电路产生RC桥式正弦波产生电路,占空比可调的矩形波电路,占空比可调的三角波电路,多用信号源产生电路,分别产生正弦波、方波、三角波 2.通过设计,可以将所学的电子技术应用到实际当中,加深对信号产生电路的理解,锻炼自己的动手能力与查阅资料的能力。使自己的对模电的理解更为透彻。 1.2设计内容及要求 1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

(3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 软件仿真部分元器件不限,只要元器件库中有即可,但需要注意合理选取。 二.单信号发生电路 2、1 RC桥式正弦波产生电路 参数计算:

器件选择: 2、2占空比可调的矩形波产生电路 参数计算: 器件选择:

2、3占空比可调的三角波产生电路 参数计算: 器件选择:

数字电子技术课程设计汇本电子密码锁

课程设计说明书 课程名称:数字电子技术课程设计 题目:电子密码锁 学生: 专业: 班级:

学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路 的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s未将锁打开,则电路自动复位进入自锁状态,并发报警信号。 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码。当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路。 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输

入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响)。 方案二也用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS175实现密码的存储问题。用四个双D触发器来组成一个位移控制器来控制74LS175的存储密码,当键盘有输入时双D触发器组成的位移寄存器的输出就会往右位移一位。计数器用555单稳态电路来计时。输入密码时,键盘有按键输入就开始计时,单稳态电路输出一个脉冲,等脉冲过后判断密码锁是否已解锁,若就没解锁则自锁电路。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号。 三、单元电路设计与参数计算 方案一和方案二对比,我选择了方案一。原因是:方案一中的倒计时单路方便显示,比较直观。而且方案一的总体思路是用一个数据总线和一个地址线来控制信号的输入存储,比起方案二更优秀。所以我选择了方案一。 1、按键输入和按键信号识别

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

模电实验报告

模拟电子电路课程设计报告书 题目名称:直流稳压电源 姓名:刘海东潘天德 班级:15电科2 学号:23 26 日期:2017.6.11

目录 绪论 (2) 一设计目的 (3) 二设计要求与指标 (3) 三理论分析 (4) 四器件选择及计算 (9) 五具体制作步骤 (12) 六测试方法 (13) 七问题及总结 (15) 八心得体会 (17) 绪论 直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的+/- 5v直流电,并实现电压可在8-15V连续可调。电源在生活中是非常常见的一种电器,任何电子电路都离不开电源,就像我们下学期即将学到的单片机一样,需要5V的直流电源,没有电源就不能进行正常的工作,如果用干电池进行供电,则有供电功率低,持续供电能力差,成本高等缺点。而交流电在产生、电能输送等方面具有独特的优点,发电站、各市电网中的电能传输都是以交流电的形式进行输送,如果我们对市电提供的电压进行降压整流等,把交流电转换成直流电,以获得我们所

需要的电压。 一设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2.学会直流稳压电源的设计方法和性能指标测试方法。 3.培养实践技能,提高分析和解决实际问题的能力。 二设计要求与指标 2.1设计要求 (1)分析电路组成及工作原理; (2)单元电路设计计算; (3)采用分立元件电路; (4)画出完整电路图; (5)调试方法; (6)小结与讨论。 2.2设计指标 (1)输出电压:8~15V可调 (2)输出电流:I O=1A (3)输入电压:交流 220V+/-10%

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

数电课程设计报告新编

数电课程设计报告新编 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院 专业:电子信息工程 班级: 姓名: 学号: 2014年7月

目录 1.设计任务与要求 (1) 2.设计思路 (1) 3.设计原理及方案 (2) 4.总结与讨论 (14)

一、设计任务及要求: 1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上 2.洗衣机控制器的工作过程为: (1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟) (2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。其他两个按键不起作用。到达定时时间后,停止运行,按下并松开S4则回到初始状态,在运行中要显示定时时间和剩余工作时间,当剩余时间为0时,要显示“End”。在工作过程中用三个LED指示灯指示电机工作状态,正转D1灯亮,反转D2灯亮,暂停D3灯亮, 如此反复直至工作时间为0停止(三个LED灯都不亮)。 系统总体框图如下: 二、设计思路 为了便于计时,首先把1000Hz的外部时钟分频为1Hz。正转时间设为4s,反转设为4s,暂停设为2s,令洗衣机按照正转4s、暂停2s、反转4s、暂停2s的顺序进行旋转,周期恰好为10秒,而定时时间单位为分钟,因此需要设计分钟计数器和秒计数器。开发板提供的时钟信号CP频率为1000Hz,应该设计一分频器得到1Hz的时钟信号作为时间计数脉冲。

模电课程设计实验报告分析

模电课程设计实验报告 实验内容:一、设计并制作一个能输出+5V 电压的直流稳压电源,输入电压为直流9V。二、利用课程设计(一)制作的电源、电压比较器、电压跟随器设计,驱动三 极管,通过可调电阻,控制LED灯的点亮和熄灭。 实验要求:(1)设计出+5V 直流稳压电源的电路原理图; (2)在万用板上焊接组装给定的元器件并进行调试,输入电压没有极性之分, 输出电压+5V,并点亮电源指示灯(红色); (3)设计一款电压比较器A,参考电压2.5V; (4)设计一款电压跟随器B,跟随电压比较器A 的电压; (5)驱动三极管,通过可调电阻,实现对LED(绿色)灯的控制; (6)完成课程设计报告的撰写。 实验原理: 一、制作稳定电压源 采用二极管、集成运放、电阻、稳压管、电容、二极管、LED发光二极管等元件器件。 输入电压为9V 的直流电源经桥式整流电路和滤波电路形成稳定的直流电源,稳压部分采用 串联型稳压电路。比例运算电路的输入电压为稳定电压;同时,为了扩大输出大电流,集 成运放输出端加晶体管,并保持射极输出形式,就构成了具有放大环节的串联型稳压电路。整体功能结构如图 直流9V 1、单相桥式整流电路 直流5V 为了将电压转换为单一方向的电压,通过整流电路实现。查阅资料可知单相整流电路有单相桥式整流电路(全波整流电路)。桥式整流电路巧妙地利用了二极管的单向导电性,将四个二极管分为两组,根据变压器次级电压的极性分别导通,将变压器次级电压的正极性端与负载电阻的上端相连,负极性端与负载电阻的下端相连,使负载上始终可以得到一个单方向的脉动电压。单相桥式整流电路,具有输出电压高,变压器利用率高、脉动系数小等优点。所以在电路中采用单相桥式整流电路。 2、滤波电路 整流电路滤波电路稳压电路

数字电子技术课程设计电子密码锁

课程设计说明书课程名称:数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路 的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号。 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码。当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路。 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响)。

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

数电课程设计电子密码锁模板

数电课程设计电子 密码锁

数字逻辑设计 课程设计报告书 题目名称: 电子密码锁 学院: 光电信息学院 小组成员: 钟永捷 侯晨涛 徐昊 指导教师: 李力 日期: 6月28日 一、小组成员分工情况

侯晨涛: 所有编程工作、资料查找工作量: 60% 钟永捷: 辅助编程、图表制作、资料查找工作量: 20% 徐昊: 论文写作、图表制作、资料查找工作量: 20% 二、题目分析 1.输入信号 经过扫描键盘的行与检测键盘的列得到输入信号。 2.输出信号 经过译码器得到的显示器显示信息, 开关锁动作。 三、设计总框图 四、各模块说明 (一)键盘扫描模块 1.原理 经过时序信号产生脉冲, 不间断地向矩阵键盘的行输入1110-1101-1011-0111的循环

序列。同时将各列的电平置高, 检测矩阵键盘各列的电平变化。若在一定时间内, 扫描到第n 列电平为零时恰好第m 行的输入电平也为零, 则判断键盘上[]n m ,位置上键被按下。 2. 模块框图 3. 状态表 此模块只需要经过编码器实现即可, 假定前四位表示各行扫描结果, 后三位表示各列扫描的结果。

动作行输入列输入状态命名编码输出按下”0”键0111 101 S0 0000 按下”1”键1110 110 S1 0001 按下”2”键1110 101 S2 0010 按下”3”键1110 011 S3 0011 按下”4”键1101 110 S4 0100 按下”5”键1101 101 S5 0101 按下”6”键1101 011 S6 0110 按下”7”键1011 110 S7 0111 按下”8”键1011 101 S8 1000 按下”9”键1011 011 S9 1001 按下”#”键0111 011 S10 1010 按下”*”键0111 110 S11 1011 4.仿真结果

相关主题