搜档网
当前位置:搜档网 › 电路设计综合作业

电路设计综合作业

电路设计综合作业
电路设计综合作业

Protel DXP 印制电路板设计U盘PCB板开发

学院:信息技术学院

指导老师:

班级:

姓名:

学号:

目录

一、电路概述 ................................................... - 2 -

二、功能原理 ................................................... - 2 -

2.1 IC1114 .................................................... - 3 - 2.2 K9F0BDUDB ................................................. - 4 - 2.3AT2101 ..................................................... - 4 - 2.4SW1 ........................................................ - 5 -

2.5USB CONN ................................................... - 6 -

三、原理图 ..................................................... - 7 -

四、PCB图...................................................... - 7 -

五、项目元件清单 ............................................... - 7 -

六、自制原件说明 ............................................... - 9 -

七、心得体会 .................................................. - 10 -

一、电路概述

从U盘诞生到现在,已有10年的时间,它的出现是移动存储领域的一大突

破。容量大、体积小、抗震性强、功耗低、寿命长是它最显著的特点。U盘是中国在计算机存储领域二十几年来唯一属于中国人的原创性发明专利成果。

最近两年U盘的发展非常快,尤其是在容量上。2006年,U盘的主流容量还只是256M,到2007年便已飞跃为1G、2G。现在已经升级到了4G、8G甚至16G、32G。容量的不断扩大将会让他慢慢产生“质变”。大容量U盘的出现,使得U 盘发展为某种应用平台成为可能,如高清电影闪存盘,高容量才使得它可以存储高质量的数字内容。从而使U 盘PCB板的开发成为了主流。

U盘电路很简单,主要由控制电路、存储电路、电压转化电路、写保护电路和U盘接口组成。各部分协调的工作,从而完成数据的读写操作。此设计中采用的是双层板技术。

现在,U盘除了存储数据外,vista操作系统的一项独特的功能:Ready Boost 还可以通过U盘等USB接口的外部设备有效提升系统功能。而且当前的主板也开始提供了对于USB设备启动系统的支持。所以,在U盘功能日益强大的时候,大

容量的支持就更显必要了。

二、功能原理

U盘的基本工作原理也比较简单:USB端口负责连接电脑,是数据输入或输出的通道;主控芯片负责各部件的协调管理和下达各项动作指令,并使计算机将U盘识别为“可移动磁盘”,是U盘的“大脑”;FLASH芯片与电脑中内存条的原理基本相同,是保存数据的实体,其特点是断电后数据不会丢失,能长期保存;PCB底板是负责提供相应处理数据平台,且将各部件连接在一起。当U盘被操作系统识别后,使用者下达数据存取的动作指令后,USB移动存储盘的工作便包含了这几个处理过程。

当一个USB设备插入主机时,由于USB设备硬件本身的原因,它会使USB

总线的数据信号线的电平发生变化,而主机会经常扫描USB总线。当发现电平有变化时,它即知道有设备插入。

当USB设备刚插入主机时,USB设备它本身会初始化,并认为地址是0。也就是没有分配地,这有点象刚进校的大学生没有学号一样。

正如有一个陌生人闯入时我们会问“你是什么人”一样,当一个USB设备插

入主机时,,它也会问:“你是什么设备”。并接着会问,你使用什么通信协议等等。当这一些信息都被主机知道后,主机与USB设备之间就可以根据它们之间的约定进行通信。

USB的这些信息是通过描述符实现的,USB描述符主要包括:设备描述符,配置描述符,

接口描述符,端点描述符等。当一个U盘括入主机时,你立即会发现你的资源管理器里多了一个可移动磁盘,在Win2000下你还可以进一步从主机上知道它是爱国者或是朗科的。这里就有两个问题,首先主机为什么知道插入的是移动磁盘,而不是键盘或打印机等等呢?另外在Win2000下为什么还知道是哪个公司生产的呢?其实这很简单,当USB设备插入主机时,主机首先就会要求对方把它的设备描述符传回来,这些设备描述符中就包含了设备类型及制造商信息。又如传

输所采用的协议是由接口描述符确定,而传输的方式则包含在端点描述符中。

2.1 IC1114

IC1114(封装型号F-QFP7x7-G48/X.3N)

(1)基本功能:

IC1114是电路中主要原件之一,在U盘电路中主要负责数据读写控制工作。(2)封装图尺寸如图(2-1)所示:

图(2-1)

2.2 K9F0BDUDB

K9F0BDUDB (封装型号TSSO12x20-G48/P.5) (1)基本功能:

K9F0BDUDB 是电路中主要原件之一,在U 盘电路中主要负责数据存储工作。 (2)封装如图(2-2)所示

:

图(2-2)

声明:此封装在Protel DXP 2004软件封装库TSOP(0.5MM Pitch).PcbLib 里可以直接找到。

2.3 AT2101

AT2101 (封装型号SO-G5/Z2.8) (1)基本功能:

AT2101是电路中主要原件之一,在U 盘电路中主要负责将笔记本电压转换成U 盘工作电压.

(2)封装图尺寸如图(2-3)所示:

图(2-3)

2.4 SW1

SW1 (封装型号SW1) (1)基本功能:

SW1是电路中主要原件之一,在U 盘电路中主要负责数据写保护工作。 (2)封装(自制封装)图尺寸如图(2-4)所示:

图(2-4)

2.5 USB CONN

USB CONN (封装型号J1) (1)基本功能:

USB CONN 是电路中主要原件之一,它是U 盘与计算机连接的接口,承担纽带作用。 (2)封装(自制封装)图尺寸如图(2-5)所示:

图(2-5)

三、原理图

如图:(3-1)

图(3-1)四、PCB图

如图:(4-1)

图(4-1)五、项目元件清单

六、自制原件说明

在U盘PCB板开发中,自制元件主要包括:AT2101、IC1114、K9F0BDUDB、SW1 、J1。

自制元件表

七、心得体会

通过对Protel 2004一个学期的学习,让我学会了一些基本电路的原理图绘制和PCB的制作.在开始接触Protel 2004 软件时,对其全英文的操作界面一窍不通,但在老师的讲解和操作指导下,加上自己的实际练习慢慢对它渐渐地熟悉了.开始会建原理图文件和PCB文件,但都不会查找元件和绘制元件,更不用说绘制PCB封装了。

老师说在初学Protel 2004时,最难的是对元件的查找和对元件库的管理和添加,还有PCB封装的绘制.的确对于初学Protel 2004的我们,实在很难找到成功的感觉,往往错误百出,到处都存在问题,每一个详细的步骤都要认真记下才能完成,否则在老师操作后便找不到其踪迹了。

随着对Protel 2004 接触时间的增长,我们也慢慢地开始有感觉起来了.开始学习原理图元件的绘制了,原理图绘制首先要打开原理图绘制界面,在绘制原理图元件时要注意元件一般的尺寸大小,不能太大也不能太小了,还有元件管脚电气属性的设置和消箭头的方法.原理图的绘制完成后便是修改名称和添加元件库了.这些基本方法都掌握后, 就可以绘制一些基本的原理图了, 绘制图形要注意元件的摆放和整体的布局,绘制的原理图要求美观,清晰。

接下来便是学习制作PCB的封装了,是学习Protel 2004 很难也很关键的一步,制作PCB要以元件实物的型号和大小为依据,实物元件的种类繁多,以种类的不同大小又不一,所以要以具体情况具体要求来制作PCB封装. PBC封装尺寸的大小更注重在管脚的距离上,管脚距离的大小决定了实物元件能否安装在电路板上.要从原理图生成PCB就要保证每个元件都有对应的封装,不仅大小要对应符号也要一一对应.在这些步骤都完成后就可以从原理图生成PCB了,在从原理图生成PCB时要保证每个元件都是正确的,保证每个元件都被导入了,才算成功。

PCB生成后最主要的工作就是对元件的布局和布线了,PCB元件的布局的要求是功能元件要尽量在一起,主要功能集成块要放在电路板中央,重元件要有支架,开关和发热元件靠边放,高频部分布线要尽量短,最后元件摆放要整齐,美观.元件布局好后就是布线了,布线可以是单层,双层和多层的. 布线后尽量不要存在飞线,若布线存在极少飞线,依实际情况可不从新布线而手动布线.布线完后添加泪滴和敷铜,PCB就制作完成了,可以进行3D仿真观察。

Protel 的学习注重实际练习,练习多了便有了经验,经验积累多了便有了感觉,有了感觉便学会了Protel . 所以在以后的学习中我定会从实际出发,加强练习。

本学期在于老师热情指导下熟练掌握了Protel DXP 软件的应用和U盘PCB 板的制作,在此对于老师表示衷心的感谢!

电路原理图设计说明

电路原理图设计 原理图设计是电路设计的基础,只有在设计好原理图的基础上才可以进行印刷电路板的设计和电路仿真等。本章详细介绍了如何设计电路原理图、编辑修改原理图。通过本章 的学习,掌握原理图设计的过程和技巧。 3.1 电路原理图设计流程 原理图的设计流程如图3-1 所示 . 。 图3-1 原理图设计流程 原理图具体设计步骤: (1 )新建原理图文件。在进人SCH 设计系统之前,首先要构思好原理图,即必须知道所设计的项目需要哪些电路来完成,然后用Protel DXP 来画出电路原理图。

(2 )设置工作环境。根据实际电路的复杂程度来设置图纸的大小。在电路设计的整个过程中,图纸的大小都可以不断地调整,设置合适的图纸大小是完成原理图设计的第一步。 (3 )放置元件。从元件库中选取元件,布置到图纸的合适位置,并对元件的名称、封装进行定义和设定,根据元件之间的走线等联系对元件在工作平面上的位置进行调整和修改使得原理图美观而且易懂。 (4 )原理图的布线。根据实际电路的需要,利用SCH 提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一幅完整的电路原理图。 (5 )建立网络表。完成上面的步骤以后,可以看到一张完整的电路原理图了,但是要完成电路板的设计,就需要生成一个网络表文件。网络表是电路板和电路原理图之间的重要纽带。 (6 )原理图的电气检查。当完成原理图布线后,需要设置项目选项来编译当前项目,利用Protel DXP 提供的错误检查报告修改原理图。 (7 )编译和调整。如果原理图已通过电气检查,那么原理图的设计就完成了。这是对于一般电路设计而言,尤其是较大的项目,通常需要对电路的多次修改才能够通过电气检查。 (8 )存盘和报表输出:Protel DXP 提供了利用各种报表工具生成的报表(如网络表、元件清单等),同时可以对设计好的原理图和各种报表进行存盘和输出打印,为印刷板电路的设计做好准备。 3.2 原理图的设计方法和步骤 为了更直观地说明电路原理图的设计方法和步骤,下面就以图3 -2 所示的简单555 定时器电路图为例,介绍电路原理图的设计方法和步骤。

西电射频大作业(精心整理)

射频大作业 基于PSpice仿真的振幅调制电路设计数字调制与解调的集成器件学习

目录 题目一:基于PSpice仿真的振幅调制电路设计与性能分析 一、实验设计要求 (3) 二、理论分析 1、问题的分析 (3) 2、差动放大器调幅的设计理论 (4) 2.1、单端输出差动放大器电路 2.2、双端输出差动放大器电路 2.3、单二极管振幅调制电路 2.4、平衡对消二极管调幅电路 三、PSpice仿真的振幅调制电路性能分析 (10) 1、单端输出差动放大器调幅电路设计图及仿真波形 2、双端输出差动放大器调幅电路设计图及仿真波形 3、单二极管振幅调制电路设计图及仿真波形 4、平衡对消二极管调幅电路设计图及仿真波形 四、实验总结 (16) 五、参考文献 题目二数字调制与解调的集成器件学习 一、实验设计要求 (17) 二、概述 (17) 三、引脚功能及组成原理 (18) 四、基本连接电路 (20) 五、参考文献 (21) 六、英文附录 (21)

题目一基于PSpice仿真的振幅调制电路设计 摘要 随着大规模集成电路的广泛发展,电子电路CAD及电子设计自动化(EDA)已成为电路分析和设计中不可缺少的工具。此次振幅调制电路仿真设计基于PSpice,利用其丰富的仿真元器件库和强大的行为建模工具,分别设计了差分对放大器和二极管振幅调制电路,由此对线性时变电路调幅有了更进一步的认识;同时,通过平衡对消技术分别衍生出双端输出的差分对放大器和双回路二极管振幅调制电路,消除了没用的频率分量,从而得到了更好的调幅效果。本文对比研究了单端输出和双端输出的差分对放大器调幅电路及单二极管和双回路二极管调幅电路,通过对比观察时域和频域波形图,可知平衡对消技术可以很好地减小失真。 关键词:PSpice 振幅调制差分对放大器二极管振幅调制电路平衡对消技术 一、实验设计要求 1.1 基本要求 参考教材《射频电路基础》第五章振幅调制与解调中有关差分对放大器调幅和二极管调幅的原理,选择元器件、调制信号和载波参数,完成PSpice电路设计、建模和仿真,实现振幅调制信号的输出和分析。 1.2 实践任务 (1) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择晶体管和其它元件;搭建单端输出的差分对放大器,实现载波作为差模输入电压,调制信号控制电流源情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (2) 参考例5.3.1,修改电路为双端输出,对比研究平衡对消技术在该电路中的应用效果。 (3) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择二极管和其它元件;搭建单二极管振幅调制电路,实现载波作为大信号,调制信号为小信号情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (4) 参考例5.3.2,修改电路为双回路,对比研究平衡对消技术在该电路中的应用效果。 1.3 写作报告 (1) 按论文形式撰写,包括摘要、正文和参考文献,等等。 (2) 正文包括振幅调制电路的设计原理、理论分析结果、实践任务中各阶段设计的电路、参数、波形和频谱,对观察记录的数据配以图像和表格,同时要有充分的文字做分析和对比,有规律性认识。 (3) 论文结构系统、完备、条理清晰、理论正确、数据翔实、分析完整。 1.4 相关提示 (1) 所有电路和信号参数需要各人自行决定,各人有不同的研究结果,锻炼学生的独立研究和实验分析能力。 (2) 为了提高仿真精度和减小调试难度,可以将调制信号和载波的频率设置得较低。 二、理论分析 1、问题的分析 根据题目的要求,差分对放大器和二极管振幅调制电路目的都是实现基本无

protel 99se绘制原理图的主要步骤

protel 99se绘制原理图的主要步骤 通常,硬件电路设计师在设计电路时,都需要遵循一定的步骤。要知道,严格按照步 骤进行工作是设计出完美电路的必要前提。对一般的电路设计而言,其过程主要分为 以下3步: 1.设计电路原理图 在设计电路之初,必须先确定整个电路的功能及电气连接图。用户可以使用Protel99 提供的所有工具绘制一张满意的原理图,为后面的几个工作步骤提供可靠的依据和保证。 2.生成网络表 要想将设计好的原理图转变成可以制作成电路板的PCB图,就必须通过网络表这一桥梁。在设计完原理图之后,通过原理图内给出的元件电气连接关系可以生成一个网络 表文件。用户在PCB设计系统下引用该网络表,就可以此为依据绘制电路板。 3.设计印刷电路板 在设计印刷电路板之前,需要先从网络表中获得电气连接以及封装形式,并通过这些 封装形式及网络表内记载的元件电气连接特性,将元件的管脚用信号线连接起来,然 后再使用手动或自动布线,完成PCB板的制作。 原理图的设计步骤: 一般来讲,进入SCH设计环境之后,需要经过以下几个步骤才算完成原理图的设计:1.设置好原理图所用的图纸大小。最好在设计之处就确定好要用多大的图纸。虽然在 设计过程中可以更改图纸的大小和属性,但养成良好的习惯会在将来的设计过程中受益。 2.制作元件库中没有的原理图符号。因为很多元件在Protel99中并没有收录,这时就 需要用户自己绘制这些元件的原理图符号,并最终将其应用于电路原理图的绘制过程 之中。 3.对电路图的元件进行构思。在放置元件之前,需要先大致地估计一下元件的位置和 分布,如果忽略了这一步,有时会给后面的工作造成意想不到的困难! 4.元件布局。这是绘制原理图最关键的一步。虽然在简单的电路图中,即使并没有太 在意元件布局,最终也可以成功地进行自动或手动布线,但是在设计较为复杂的电路 图时,元件布局的合理与否将直接影响原理图的绘制效率以及所绘制出的原理图外观。

电路原理图设计步骤

电路原理图设计步骤 1.新建一张图纸,进行系统参数和图纸参数设置; 2.调用所需的元件库; 3.放置元件,设置元件属性; 4.电气连线; 5.放置文字注释; 6.电气规则检查; 7.产生网络表及元件清单; 8.图纸输出. 模块子电路图设计步骤 1.创建主图。新建一张图纸,改名,文件名后缀为“prj”。 2.绘制主图。图中以子图符号表示子图内容,设置子图符号属性。 3.在主图上从子图符号生成子图图纸。每个子图符号对应一张子图图纸。 4.绘制子图。 5.子图也可以包含下一级子图。各级子图的文件名后缀均是“sch”。 6.设置各张图纸的图号。 元件符号设计步骤 1.新建一个元件库,改名,设置参数; 2.新建一个库元件,改名; 3.绘制元件外形轮廓; 4.放置管脚,编辑管脚属性; 5.添加同元件的其他部件; 6.也可以复制其他元件的符号,经编辑修改形成新的元件; 7.设置元件属性; 8.元件规则检查; 9.产生元件报告及库报告; 元件封装设计步骤 1.新建一个元件封装库,改名; 2.设置库编辑器的参数; 3.新建一个库元件,改名; 4.第一种方法,对相似元件的封装,可利用现有的元件封装,经修改编辑形成; 5.第二种方法,对形状规则的元件封装,可利用元件封装设计向导自动形成; 6.第三种方法,手工设计元件封装: ①根据实物测量或厂家资料确定外形尺寸; ②在丝印层绘制元件的外形轮廓; ③在导电层放置焊盘; ④指定元件封装的参考点 PCB布局原则 1.元件放置在PCB的元件面,尽量不放在焊接面; 2.元件分布均匀,间隔一致,排列整齐,不允许重叠,便于装拆; 3.属同一电路功能块的元件尽量放在一起;

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

东南大学数字通信试卷(附答案)

东南大学考试卷(A卷) 课程名称 数 字 通 信 考试学期 04-05-2得分 适用专业无线电工程系 考试形式闭 卷 考试时间长度120分钟共 页 Section A:True or False (15%) 1. 1.When the period is exactly 2m, the PN sequence is called a maximal-length-sequence or simply m-sequence. 2. 2.For a period of the maximal-length sequence, the autocorrelation function is similar to that of a random binary wave. 3. 3.For slow-frequency hopping,symbol rate R s of MFSK signal is an integer multiple of the hop rate R h. That is, the carrier frequency will change or hop several times during the transmission of one symbol. 4. 4.Frequency diversity can be done by choosing a frequency spacing equal to or less than the coherence bandwidth of the channel. 5. 5.The mutual information of a channel therefore depends not only on the channel but also on the way in which the channel used. 6. 6.Shannon’s second theorem specifies the channel capacity C as a fundamental limit on the rate at which the transmission of reliable error-free messages can take place over a discrete memoryless channel and how to construct a good code. 7.7.The syndrome depends not only on the error pattern, but also on the transmitted code word. 8.8.Any pair of primitive polynomials of degree m whose corresponding shift registers generate m-sequences of period 2m-1 can be used to generate a Gold sequence. 9.9.Any source code satisfies the Kraft-McMillan inequality can be a prefix code. 10.10.Let a discrete memoryless source with an alphabet ? have entropy H? and produce symbols once every s T seconds. Let a discrete () memoryless channel have capacity and be used once every C c T

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

射频电路基础大作业

射频电路基础大作业 从射频电路的软件仿真和硬件设计两方面分别考察学生的实践和写作能力。以下是两个题目的基本要求、实践任务、写作报告和相关提示的具体内容。 题目一:基于PSpice仿真的振幅调制电路设计 1.1 基本要求 参考教材《射频电路基础》第五章振幅调制与解调中有关差分对放大器调幅和二极管调幅的原理,选择元器件、调制信号和载波参数,完成PSpice电路设计、建模和仿真,实现振幅调制信号的输出和分析。 1.2 实践任务 (1) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择晶体管和其它元件;搭建单端输出的差分对放大器,实现载波作为差模输入电压,调制信号控制电流源情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (2) 参考例5.3.1,修改电路为双端输出,对比研究平衡对消技术在该电路中的应用效果。 (3) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择二极管和其它元件;搭建单二极管振幅调制电路,实现载波作为大信号,调制信号为小信号情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (4) 参考例5.3.2,修改电路为双回路,对比研究平衡对消技术在该电路中的应用效果。 1.3 写作报告 (1) 按论文形式撰写,包括摘要、正文和参考文献,等等。 (2) 正文包括振幅调制电路的设计原理、理论分析结果、实践任务中各阶段设计的电路、参数、波形和频谱,对观察记录的数据配以图像和表格,同时要有充分的文字做分析和对比,有规律性认识。 (3) 论文结构系统、完备、条理清晰、理论正确、数据翔实、分析完整。 1.4 相关提示 (1) 所有电路和信号参数需要各人自行决定,各人有不同的研究结果,锻炼学生的独立研究和实验分析能力。 (2) 为了提高仿真精度和减小调试难度,可以将调制信号和载波的频率设置得较低。 题目二:数字调制与解调的集成器件学习 2.1 基本要求

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

PCB电路设计步骤

PCB电路设计步骤 一.电路原理图的绘制: 1.绘制原理图的电气部件: 1)放置元件(part) 2)放置电气连接线(wire) 执行place/wire命令,或单击绘制工具栏的图标,光标由箭头变为小十字形; 单击确定连线的起点; 单击连线的第一终止点确定连接终点,也是下一连线的起点。如此操作可绘制一条包含多条线段的连接线; 右击退出本次连接操作,执行下一连线的绘制操作; 双右击,退出连线绘制操作状态,可执行其他绘制操作; 3)放置电气连接点(junction) 执行place/junction命令,或者单击绘制工具栏中按钮,光标由箭头变为小十字形; 单击电气交叉点处,放臵一个电气连接点; 右击退出放臵电气连接点状态; 4)放置电源/接地端点(power port) 执行place/power port命令,或者单击绘制工具栏的按钮,光标处出现一个浮动电源部件跟随; 单击适合位臵将电源部件放臵在原理图上; 双击图纸上的电源部件; 5)放置网络标号(net label) 执行place/net label命令,或单击绘制工具栏的按钮,光标处出现跟随一个浮动的网络标号图标; 移动光标将网络标号放臵在合适位臵 双击一个网络标号,显示“net label”对话框; 在net下拉列表框中输入网络标号的自定义名; 单击change按钮,显示“字体”对话框。在其中选择合适的字体,然后点击OK按钮; 6)放置总线(bus) 执行place/bus命令,或单击绘制工具栏的按钮,进入放臵总线状态。绘制总线的操作与绘制电气连接线基本相同; 双击放臵的总线,显示“bus”对话框; 定义总线的线宽度和颜色,线宽有4种:smallest,small,medium,large,

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

东南大学十套数据结构试题及答案

数据结构试卷(一) 三、计算题(每题 6 分,共24分) 1. 在如下数组A 中链接存储了一个线性表,表头指针为A [0].next ,试写出该线性表。 A 0 1 2 3 4 5 6 7 data 60 50 78 90 34 40 next 3 5 7 2 0 4 1 2. 请画出下图的邻接矩阵和邻接表。 3. 已知一个图的顶点集V 和边集E 分别为:V={1,2,3,4,5,6,7}; E={(1,2)3,(1,3)5,(1,4)8,(2,5)10,(2,3)6,(3,4)15, (3,5)12,(3,6)9,(4,6)4,(4,7)20,(5,6)18,(6,7)25}; 用克鲁斯卡尔算法得到最小生成树,试写出在最小生成树中依次得到的各条边。 4. 画出向小根堆中加入数据4, 2, 5, 8, 3时,每加入一个数据后堆的变化。 四、阅读算法(每题7分,共14分) 1. LinkList mynote(LinkList L) {//L 是不带头结点的单链表的头指针 if(L&&L->next){ q=L ;L=L ->next ;p=L ; S1: while(p ->next) p=p ->next ; S2: p ->next=q ;q ->next=NULL ; } return L ; } 请回答下列问题: (1)说明语句S1的功能; (2)说明语句组S2的功能; (3)设链表表示的线性表为(a 1,a 2, …,a n ),写出算法执行后的返回值所表示的线性表。 2. void ABC(BTNode * BT) { if BT { ABC (BT->left); ABC (BT->right); cout<data<<' '; } } 该算法的功能是: 五、算法填空(共8分) 二叉搜索树的查找——递归算法: bool Find(BTreeNode* BST,ElemType& item)

ADS射频电路设计基础与典型应用解析

实验报告 课程名称: ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日 预习报告

一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用来设置直流仿真的外部环境和计算方式,例如,环境温度、设备温度、仿真的收敛性、仿真的状态提示和输出文件的特性等相关内容。

东南大学《工程矩阵理论》试卷09-10-A

一. (10%)求22×C 的子空间12,V V 的交空间12V V ∩及和空间12V V +的基和维数,其中,V x ∈?. 12,y ?????? |,|,C V x ???=∈??????x y x y x y y x ??=??????y C ??二. (10%)欧氏空间3[]R x 中的内积定义为:对3(),()[]x x R x ?ψ?∈, )1 1(),()()(x x ?ψ?<>∫x ?ψ=x dx 。令1α=,x β=,2x η=, (,)W L αβ=。求η在W 中的正投影,即求0W η∈,使得 0min W ξηηη∈ξ?=?. 三. (20%)在22×矩阵空间22C ×上定义线性变换f 如下:对任意矩阵22X C ×∈, ?,其中,a 为234a a a a ?()f X ??=?? X 的迹()tr X 。 1. 求f 在22C ×的基11122122,,,E E E E 下的矩阵M ; 2. 分别求f 的值域()R f 及核子空间()K f 的基及维数; 3. 求f 的特征值及相应的特征子空间的基; 4. 问:是否存在22C ×的基,使得f 在这组基下的矩阵为对角阵?为什么? 四. (10%)根据参数,a b 不同的值,讨论矩阵b ??的Jordan 标准形,并求矩阵100的秩。 1702001a A ???=????? ()A I ?五. (14%)假设矩阵. 101002101A ????=?????? 1. 求A 的广义逆矩阵A + ; 2. 求一个次数不超过2的多项式()f λ,使得()At f A Ae =. 六. (10%)假设f 是n 维酉空间V 上的线性变换,若对任意,V αβ∈,有())((),)(,f f αβα=β。 1. 证明:在V 的标准正交基下,f 的矩阵为Hermite 矩阵; 2. 证明:存在V 的一组标准正交基,使得f 的矩阵为对角阵。 七. (8%)假设s n ×矩阵A 的秩为r ,证明22F A A A ≤≤。

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

电子电路设计的原则、方法和步骤

电子电路设计的原则、方法和步骤 发表时间:2018-11-13T19:26:10.880Z 来源:《电力设备》2018年第20期作者:朱佩栋 [导读] 摘要:在电子电路中,包含多种基本电路,因此,其所具备的复杂程度比较高,在进行设计时,必须要遵循一定的原则,选择恰当的方法,并严格的按照设计步骤来进行,这样才能保证电子电路设计的科学性及合理性。 (浙江先锋机械股份有限公司浙江省桐乡市 314500) 摘要:在电子电路中,包含多种基本电路,因此,其所具备的复杂程度比较高,在进行设计时,必须要遵循一定的原则,选择恰当的方法,并严格的按照设计步骤来进行,这样才能保证电子电路设计的科学性及合理性。本文通过对电子电路设计的原则以及电子电路设计的具体方法进行了分析和探讨,总结归纳出了电子电路设计的具体步骤,以供相关人员参考或采纳。 关键词:电子电路设计;原则;方法;步骤 0引言 电子电路最早始于十九世纪末期,开始时电子电路技术的发展速度是十分缓慢的,随着社会的不断进步和发展,在二十世纪中期时,才算是真正意义上的发展起来。现在的世界电子技术无处不在,实际的电子电路往往是很复杂的,是由多种基本电路组合而成,设计时要根据具体情况,遵循一些规律去合理地设计电路的形式。文章对电子电路设计过程中应遵循的一些基本原则、方法和步骤进行了阐述。 1电子电路设计的原则 1.1整体性原则 在设计电子电路时,应当从整体出发,从分析电子电路整体内部各组成元件的关系以及电子电路整体与外部环境之间的关系入手,整体原则强调以综合为基础,在综合的控制与指导下,进行分析,并且对分析的结果进行恰当的综合。基本的要点是:①电子电路分析必须以综合为目的,以综合为前提,离开了综合的分析是盲目的,不全面的。②在以分析为主的过程中往往包含着小的综合,即在对电子电路各部分进行分别考察的过程中,往往也需要有电子电路局部的综合。③综合必须以分析为基础,只有对电子电路的分析了解达到一定程度以后,才能进行综合。没有详尽的分析电子电路作基础,综合就是匆忙的、不坚实的,往往带有某种主观臆测的成分。 1.2功能性原则 虽然电子电路的设计是十分复杂的,但是无论是多么复杂的大型电子电路,都可以通过划分部件的方式将电子电路分成不同层次的小电路。全面分析各模块功能类型及功能要求,考虑如何实现这些技术功能,即采用哪些电路来完成它;然后选用具体的实际电路,选择出合适的元器件,计算元器件参数并设计各单元电路。 1.3最优化原则 基本的电子电路设计完成之后,就可以保证电子产品具备一定的功能,由于元件自身或相互配合问题、功能模块的相互配合或藕合还存在一些缺陷,使电子电路对信号的传送、处理等方面不尽完美,所以在进行电子电路设计时,一定要保证每一个部件都能够达标。 1.4稳定性原则 其实影响电子电路稳定性的因素有很多,并且有一些问题并不是人为可以控制的,也就是说,在进行设计电子电路时,一定有很多不确定因素,并且发生的时间也是完全不受控制的,在设计时,对易遭受不可靠因素干扰的薄弱环节应主动地采取可靠性保障措施,使电子电路遭受不可靠因素干扰时能保持稳定。 1.5性价比原则 在现如今电子产业竞争如此激烈的当下,无论是任何产品,都必须要将生产周期和成本进行有效的控制,为了占领市场,提高竞争力,所设计的产品应当成本低、性能好、易操作、具有先进性(核心竞争力),在设计时要充分考虑电子电路的性能与价格比。 2电子电路设计的基本方法 2.1层次化设计方法 层次化设计的方法就是在设计时,要将设计思路分层次化处理,将各个部分的电路进行分别分析和描述,只有这样才能最大程度上保证电子电路的整体使用性能和稳定性。具体说来,可以分为三层,第一层为顶层,设计时面向系统,对系统的总功能进行描述,第二层为中层,设计时面向电路级,第三层为底层,设计时面向物理实现级,此层次的设计是对较小单元的设计。 2.2渐近式的组合设计方法 此种设计方法适用于应用型电子电路,在进行设计时,首先根据其功能需求,将组合图设计出来,随后,在组合图的基础上分析出其工作原理,这是对基本单元的设计。此方法能够有效的避免在设计过程中,出现失误的几率,从而最大程度上提升电子电路的稳定性。 2.3硬件描述语言设计方法 从当前来看,这是一种比较先进的电子电路设计方法。所谓硬件描述语言设计方法,就是指电子设计自动化。利用硬件语言描述的方法进行设计,能够最大程度上保证电子电路设计的准确性,因为硬件语言描述设计方法是利用计算机进行数字化设计和整理的,所以这种方法比人工设计准确性要更高。 2.4最优化设计方法 对于一些电子电路一经制出便很难调整,所以必须采用计算机辅助设计。计算机辅助设计程序一般包括三部分:一是实测数据的数据处理程序;二是网络分析程序;三是网络最佳化分析程序。没有一种万能的方法能解决所有的问题,对于某一指定问题也并非只有一种可行方法。 2.5电路方程设计 在电路设计中时常会遇到给出一个较为复杂的电路方程(数学模型),诸如机电模拟、信号处理、元器件参数补偿等等,可以利用电路方程的设计方法进行设计,这种方法都是由一个数学模型进行模拟设计,最大程度上简化了设计过程,提升了精准度。 3电子电路设计的具体步骤 3.1明确功能要求 电子产品的类型是比较多,不同的类型具备不同的功能要求,因此,电路设计的形式也不相同。通过设计要求和目标进行分析和整理,判断出设计要求中需要哪些功能,控制关系是怎样的,最后画出功能框架设计图,再根据用户的设计要求,进行相应的整改。

相关主题