搜档网
当前位置:搜档网 › 数模混合电路的设计

数模混合电路的设计

数模混合电路的设计
数模混合电路的设计

目录:

前言

一、数模混合设计的难点

二、提高数模混合电路性能的关键

三、仿真工具在数模混合设计中的应用

四、小结

五、混合信号PCB设计基础问答

前言:

数模混合电路的设计,一直是困扰硬件电路设计师提高性能的瓶颈。众所周知,现实的世界都是模拟的,只有将模拟的信号转变成数字信号,才方便做进一步的处理。模拟信号和数字信号的转变是否实时、精确,是电路设计的重要指标。除了器件工艺,算法的进步会影响系统数模变换的精度外,现实世界中众多干扰,噪声也是困扰数模电路性能的主要因素。本文通过Ansoft公司的“AD-Mix Si gnal Noise Design Suites” 数模混合噪声仿真设计软件的对数模混合设计PC B的仿真,探索分析数模混合电路的噪声干扰和优化设计的途径,以达到改善系统性能目的。

一、数模混合设计的难点

数模混合电路设计当中,干扰源、干扰对象和干扰途径的辨别是分析数模混合设计干扰的基础。通常的电路中,模拟信号上由于存在随时间变化的连续变化的电压和电流有效成分,在设计和调试过程中,需要同时控制这两个变量,而且他们对于外部的干扰更敏感,因而通常作为被干扰对象做分析;数字信号上只有随时间变化的门限量化后的电压成分,相比模拟信号对干扰有较高的承受能力,但是这类信号变化快,特别是变化沿速度快,还有较高的高频谐波成分,对外释放能量,通常作为干扰源。

作为干扰源的数字电路部分多采用CMOS工艺,从而导致数字信号输入端极高的输入电阻,通常在几十k欧到上兆欧姆。这样高的内阻导致数字信号上的电流非常微弱,因而只有电压有效信号在起作用,在数模混合干扰分析中,这类信号可以作为电压型干扰源,如CLK信号,Reset等信号。除了快速交变的数字信号,数字信号的电源管脚上,由于引脚电感和互感引起的同步开关噪声(SSN),也是数模混合电路中存在的重要一类电压型干扰源。此外,电路中还存在一些电流信号,特别是直流电源到器件负载之间的电源信号上有较大的电流,根据右手螺旋定理,电流信号周围会感应出磁场,进而引起变化的电场,在分析时,直流电源作为电流型干扰源。

无论电压型还是电流型的干扰源,在耦合到被干扰对象时,既可能通过电路传导耦合,也可能通过空间电磁场耦合,或者二者兼有。然而一般的仿真分析工具,往往由于功能所限,只能分析其中一种。例如在传统的SPICE电路仿真工具中,只考虑电路传导型的干扰,并不考虑空间电磁场的耦合;而一般的PCB信号完整

性(SI)分析工具,只考察空间电磁场耦合,将所有的电源、地都看作理想DC 直流,不予分析考虑。耦合路径提取的不完整,也是困扰数模混合噪声分析的重要原因。

数模混合设计中,电源和地的划分,是业内争论的焦点。传统的设计中,数字模拟部分被严格分开;然而随着系统越来越复杂,数模电路集成度不断提高,分割又会造成数字信号跨分割,信号回流不完整,进而影响信号完整性,另外,电源的分割还造成电源分配系统的阻抗过高;有人提出“单点连接”:还是做分割,但是在跨分割的信号下方单点连接以避免跨分割问题;但是如果数模之间信号很多,难于分开,这种“单点连接”也存在困难,因而又有人提出不分割,只是保持数字和模拟部分不要交叉;还有一些资料介绍,在跨分割的信号旁边包地线或者并联电容,用来提供完整回流路径。无论哪种方法,似乎都有一定道理,而且都有成功的先例,然而所有这些分割方案的有效性以及可能存在的问题,一直没有检验的标准。

数模混合电路的仿真,还存在模型的问题。业界普遍接受的模拟电路仿真模型还是SPICE模型,数字电路信号完整性分析使用IBIS模型。多家EDA公司的仿真软件已经推出支持多种模型的混合模型仿真器,然而摆在设计师案头的主要困难是器件模型,特别是模拟器件模型很难得到。在数字设计看来,时域的瞬态分析,即某一时间点上确定的电压值,是仿真的主要手段,就像调试中的示波器那样直观。没有精确的模型,瞬态分析就无法实现。然而对模拟设计,特别是噪声分析,激励源在时间轴上难于描述或很难预测,只知道他的频率带宽范围和大致幅度,这时候我们通常会引入频域扫频分析,考察扫频信号在关注点的变化,如同频谱分析仪的作用。或者干脆如网络分析仪(NA)那样考察信号或噪声通过的通道的频域SYZ参数,进而预测干扰发生的频率和幅度。可见,数模混合噪声分析,既需要支持混合模型的仿真器,也需要仿真器同时支持时域分析和频域分析。

二、提高数模混合电路性能的关键

在解释了数模混合电路仿真存在的主要困难后,下来我们来讨论如何解决这些困难,从而仿真预测数模干扰进而解决数模干扰的问题。

首先是干扰源的创建和设置。干扰源分为电压型和电流型的干扰源,电压型干扰源通常是数字信号本身以及数字电源管脚;电流型干扰源通常是DC电源。数字信号通常表现为周期性的方波脉冲信号,在信号与系统教程中我们知道,这类周期信号经傅立叶变换后的频谱,表现为高幅度的离散谱,这些频谱会随着频率的提高而幅度降低,频谱幅度与信号变化沿Tr, Tf以及占空比都有关系。

数字电源管脚上的噪声,通常由于同步开关噪声(Simultaneous Switch Noise)引起,而同步开关噪声又是由于晶元上IO到的电源和地管脚之间的引线电感造成的,这个电压波动会与电感大小和信号开关速度成正比,如下图。现在的大规

模IC中,管脚更多,封装更大,信号开关速度更快,因而SSN会更严重,对模拟信号的干扰也就越大。

同步开关噪声在时域上表现为幅度较小的随机脉冲,频谱为连续频谱,频谱的幅度不随频率改变而变化,只与噪声大小有关。可见,要精确分析电压型的干扰源的影响,必须精确描述出来他们的时域和频域的特性,才能准确分析。电源(VRM)作为电流型的干扰源,从直流来讲,由于滤波电路和铜箔的电阻率,在PCB上存在电流分布密度和直流压降,整个压降会影响模拟信号参考电位进而影响模拟电路性能。从交流来讲,整个电路上有源和无源器件作为电源负载,工作频率不一样,电流大小会随频率而变化,而即使负载不随频率变化,电源电流输出也是随频率变化而变化的参数。对这样一种激励和负载都变化且难以描述的传输系统,我们转入考察电源通道的频域SYZ参数,特别是电源阻抗Z参数。我们估算出电源系统在工作频率范围内的最大电流,只要确保电源阻抗足够小,就能保证电源电压波动满足指标要求。例如下图,系统最大负荷电流2A,电压,要求电压噪声控制在5%即,那么从电源到负载处的阻抗只要低于,就能满足系统要求。

干扰源讨论后,我们再看耦合途径的提取。数模混合噪声,是通过电路传导和电磁场耦合两种方式工作作用的。众所周知,麦克思维方程和基尔霍夫电压电流(K CL和KVL)定律,构成了解决传统电学问题的基础。20世纪60年代伯克力SPI CE推出后,解决了利用计算机工程计算求解电路KCL和KVL方程问题,因而如今的电路设计仿真可以利用计算机辅助做到前所未有的规模,在SPICE中,就可以分析噪声通过电路传导的影响。在电磁场计算领域,20世纪80年代出现的有限元法(FEM),特别是Ansoft公司推出的三维结构分析工具HFSS,以其算法的先进和精确,被作为电磁场计算的标准而闻名。然而三维有限元算法,由于工程计算量巨大,一直作为RF微波设计的工具。为了应对PCB上成百上千条网络的电磁场计算,一些EDA公司开始简化PCB电磁场求解的难度使用解析法,而数字电路对于求解精度要求并不高,这样就出现了专门针对高速数字PCB仿真的信号完整性分析(SI)工具。然而由于解析法固有的局限性,无法考虑诸如跨分割、不完整电源地平面、非理想直流信号的影响,因此无法分析数模混合干扰这样对精度要求更高的电磁场计算。

近年来,Ansoft推出了专门针对PCB的电磁场分析工具SIwave,考虑到PCB纵向长度与信号波长之间相差悬殊,它使用2维有限元算法,既保证了精度,又大大降低求解难度。结合了Ansoft的SPICE仿真器和2维有限元电磁场计算的优势,使得对数模混合噪声完整耦合路径提取和分析成为可能。

分割问题,一直是数模混合电路设计师的一个关注焦点。分割的目的,是为了提高数模之间的隔离度,使得数字部分干扰源的能量尽量少的传递到模拟信号端。然而分割又可能造成信号完整性,或者电源阻抗变化等问题。关于这一点,单纯

的说分割或者不分割,单点连接还是提供回流路径,都是不全面的。一方面,分割的目的是提高隔离度,只要不出现跨分割情况,可以做分割,然而不合理的层叠或滤波,反而会降低隔离度,分割没有达到效果;另一方面,只要干扰源的噪声幅度控制的足够低,去耦滤波等策略合适,提高数模之间的隔离度达到一定要求,没有必要做分割;再有,跨分割不是绝对不能出现的,合理的层叠和去耦策略可以有效避免跨分割的影响。

三、仿真工具在数模混合设计中的应用

Ansoft公司的“AD-Mix Signal Noise Design Suites”数模混合噪声仿真设计软件包括:PCB 全波整版级信号完整性/电源完整性及电磁兼容/电磁干扰仿真设计和参数抽取工具SIwave; Ansoft工具和其他CAD、EDA设计工具的接口Anso ftLinks;电路、系统和多层平面电磁场设计仿真工具Ansoft DesignerSI/Nexx im;此外,还可以选配三维结构电磁场仿真和EMC分析工具Eminence。Ansft

所有的工具都基于Windows设计风格,菜单和快捷键方式操作方便,可以直接从现有的电路设计软件中导入Ansoft的仿真软件,如Protel,PowerPCB,CR5000,Allegro,Boardstation和Expedition。而且各个模块数据通用,可以相互间直接调用。

仿真第一步,通过Ansoft Links导入PCB

数据到SIwave,设

置层叠材料特性

和厚度信息。当然

层厚和材料可以

在PCB工具中设定

好,直接导入

SIwave。

第二步,设置数字信号电压型干扰源。在Ansoft DesignerSI/Nexxim中,我们把电路中快速变化的数字信号输出模型调入,通常是IBIS模型。利用IBIS模型输出端口中给出的Vref,Rref和Cref参数,搭建激励和负载电路做瞬态时域分析。把时域分析的结果输出成频谱参数并以表格方式输出成文本文件,这个随频率变化幅度的扫频源就作为数字信号端的干扰源进行分析了。

第三步,同步开关噪声仿真。同步开关噪声作为数字电源脚的电压型干扰源,需要通过时域仿真确定噪声的幅度。首先我们在SIwave中提取包括同步信号的输出输入端口,VRM电源输出到IC的VCC管脚上的端口的多端口S参数模型,并将该模型输出到Ansoft DesignerSI/Nexxim中。有时候,器件VCC管脚可能不止一个,SIwave提供了创建Pin Group的功能,可以将多个相同电平的管脚合成一个Pin Group,然后添加端口。在Ansoft DesignerSI/Nexxim中,我们给

所有的输入输出端口加上仿真模型,通常也是IBIS模型,在VRM电源输出添加理想直流源,然后探测VCC管脚上的电压波动,这个波动电压就是SSN。需要指出的是,通常SSN包括PCB和封装上耦合电感引起的电压波动,在这里仿真的只是由于PCB布线引起的部分,如果有IC封装的S参数模型,我们可以仿真完整的SSN,关于完整的SSN分析,请参看Ansoft与Xilinx公司合作推出的SSN仿真文章

得到SSN的电压后,就可以在SIwave中的VCC管脚上添加独立的扫频源做干扰分析了。所有干扰源确定后,我们就可以在SIwave中做扫频分析,用户可以在自己关心的位置,添加电压探头,输出实际干扰大小波形,也可以将整个PCB 的电压波动以动画方式反映。

第四步,分析电流型干扰源。在SIwave中允许用户添加电流型的干扰源,与电压型干扰源类似,这个干扰源的幅度可以是不随频率变化的独立源,也可以是随频变变化的,只要能够给出变化特性。一般来讲,我们可以对已知DC电流大小的电源处添加独立电流源,分析他的电流分布密度和DC直流压降。对于频变的电流源,我们只能依靠在电源负载端添加端口,分析随频率变化的电源阻抗Z 参数,来评估噪声的大小。

第五步,分析干扰源与被干扰对象之间的隔离度。除了以上干扰分析外,SIwav e另外一个主要功能就是考察电源地的分割。在没有有源器件模型,无法给出干扰源幅度的情况下,考察数模之间的隔离度,也是解决问题的一个好方法。在S Iwave中,在干扰源和受干扰对象点分别添加端口,分析S参数,看看隔离情况是否良好。我们在SIwave中,做出一个12x10inch的四层PCB例子,分别是顶层信号层,第二层电源,第三层GND和底层信号层,再分别模拟两个点作为干扰源和被干扰对象,分析各种情况下的隔离度。

1,不分割时的隔离度

2,分割后的隔离度

3,单点连接是的隔离度

4,不分割,添加20个电容(10个47uF, 10个)后的隔离度

对于跨分割对数字信号的影响,由于传统的SI工具并不能分析,所以常常用设计规则来约束,致使很多情况布局布线困难,或者增加层厚和成本。SIwave可以精确分析跨分割信号的传输和反射特性,确定分割到底对那个频率的谐波有多大的作用。由于数字信号的频谱离散特性,只要这个波动频点不在谐波处,就不

会对信号有太大的影响。此外,我们在跨分割的两个电源上PCB上添加去耦电容,也可以改变波动频点的位置,只要它落在数字信号的转折频率之外,也不会对信号有太大的影响。

下图是一个跨分割信号的S11和S21参数,添加去耦电容后的S11和S21参数比较。

四、小结

数模混合噪声的分析,是一个复杂的问题,牵扯到电路求解和电磁场计算的问题,需要时域仿真和频域仿真的协同分析。这里仅提出一点实践中的经验和体会,供大家讨论以求共同进步。电路的设计中没有绝对一成不变的规则,必须针对问题,找到针对性的分析手段和解决方案,方能事半功倍。

五、混合信号PCB设计基础问答

1、在数字和模拟并存的系统中,我看到过有2种处理方法,一个是数字地和模拟地分开,比如在地层,数字地是独立地一块,模拟地独立一块,单点用铜皮或FB磁珠连接,而电源不分开;另一种是模拟电源和数字电源分开用FB连接,而地是统一地地。请问李先生,这两种方法效果是否一样

答:应该说从原理上讲是一样的。因为电源和地对高频信号是等效的。区分模拟和数字部分的目的是为了抗干扰,主要是数字电路对模拟电路的干扰。但是,分割可能造成信号回流路径不完整,影响数字信号的信号质量,影响系统EMC质量。因此,无论分割哪个平面,要看这样作,信号回流路径是否被增大,回流信号对正常工作信号干扰有多大。现在也有一些混合设计,不分电源和地,在布局时,按照数字部分、模拟部分分开布局布线,避免出现跨区信号。

2、我的PCB设计中位于多通道12_bitCCD模拟视频信号采样电路布局区域内的多个模拟多路器与模拟开关的CMOS驱动信号必须跨越多片ADC下的数字模拟分割,(在不同的位置用几个0欧姆电阻对数字模拟地短接)此时的信号端接方式:国外样板采用源端120R,负载端采用1个5K电阻对2或4个TTL兼容的COMS 负载对地进行端接,这些走线宽6mil,长4inch左右,领近的敷铜层间距大概在5-8mil之间。这是否与120欧姆源匹配阻抗有出入,而且5K电阻的存在是否还会导致驱动电流的增加,加大数字对模拟部分的干扰,如果当多个receiver间距离较远如时这个5K电阻的位置该如何调整,或是需要改变匹配方式。如果上述匹配方式正确,那么应该怎样计算并如何看待违反设计规则的跨越分割布线。答:对跨分割信号,用0欧姆电阻对数字模拟地短接不如信号用平行地线包夹或使用旁路电容更好。源端采用120欧串阻很少见,这个驱动信号是电压驱动的数字信号吗是不是有功率要求才作这种端接处理如果实在是电压有效的数字信号,那需要仿真模型仿真来估算匹配的位置和大小。

3、您好!现代高速PCB设计中,为了保证信号的完整性,常常需要对器件的输入或输出端进行端接。请问端接的方式有哪些采用端接的方式是由什么因素决

定的有什么规则希望专家对此能给予详细的答复或告知哪里可以找到解决这些问题的资料。谢谢!

答:端接(terminal),也称匹配。一般按照匹配位置分有源端匹配和终端匹配。其中源端匹配一般为电阻串联匹配,终端匹配一般为并联匹配,方式比较多,有电阻上拉,电阻下拉,戴维南匹配,AC匹配,肖特基二极管匹配。匹配采用方式一般由BUFFER特性,拓普情况,电平种类和判决方式来决定,也要考虑信号占空比,系统功耗等。数字电路最关键的是时序问题,加匹配的目的是改善信号质量,在判决时刻得到可以确定的信号。对于电平有效信号,在保证建立、保持时间的前提下,信号质量稳定;对延有效信号,在保证信号延单调性前提下,信号变化延速度满足要求。Mentor ICX产品教材中有关于匹配的一些资料。另外《High Speed Digital design a hand book of blackmagic》有一章专门对te rminal的讲述,从电磁波原理上讲述匹配对信号完整性的作用,相信在阅读后,对匹配的理解会更加透彻。

4、在当今无线通信设备中,射频部分往往采用小型化的室外单元结构,因而体积结构收到很大限制,因而室外单元的射频部分,中频部分,乃至对室外单元进行监控的低频电路部分往往采用部署在同一PCB上,请问李宝龙先生,对这样的PCB在材质上有何要求,如何防止射频,中频乃至低频电路互相之间的干扰,mentor在这方面有无解决方案。

答:混合电路设计是一个很大的问题。很难有一个完美的解决方案。一般射频电路在系统中都作为一个独立的单板进行布局布线,甚至会有专门的屏蔽腔体。而且射频电路一般为单面或双面板,电路较为简单,所有这些都是为了减少对射频电路分布参数的影响,提高射频系统的一致性。相对于一般的FR4材质,射频电路板倾向与采用高Q值的基材,这种材料的介电常数比较小,传输线分布电容较小,阻抗高,信号传输时延小。在混合电路设计中,虽然射频,数字电路做在同一块PCB上,但一般都分成射频电路区和数字电路区,分别布局布线。之间用接地过孔带和屏蔽盒屏蔽。 Mentor的板级系统设计软件,除了基本的电路设计功能外,还有专门的RF设计模块。在RF原理图设计模块中,提供参数化的器件模型,并且提供和EESOFT等射频电路分析仿真工具的双向接口;在RF LAYOUT模块中,提供专门用于射频电路布局布线的图案编辑功能,也有和EESOFT等射频电路分析仿真工具的双向接口,对于分析仿真后的结果可以反标回原理图和PC B。同时,利用Mentor软件的设计管理功能,可以方便的实现设计复用,设计派生,和协同设计。大大加速混合电路设计进程。手机板是典型的混合电路设计,很多大型手机设计制造商都利用Mentor加安杰伦的eesoft作为设计平台。

5、如何更好的避免高频部分可能对系统造成的影响比如206M的CPU,100M以上的SDRAM等,在布局、布线中如何处理才能保证50M以上信号的稳定性

答:高速数字信号布线,关键是减小传输线对信号质量的影响。因此,100M以上的高速信号布局时要求信号走线尽量短。数字电路中,高速信号是用信号上升

延时间来界定的。而且,不同种类的信号(如TTL,GTL,LVTTL),确保信号质量的方法不一样。有很多这方面的书和网址,建议先您浏览。

6、有一个问题请教,在一块12层PCb板上,有三个电源层,,5v,将三个电源各作在一层,没有问题,地线该如何处理,是与电源一一对应,还是使用一个层,另外两个地线层只不过作为结构层而已。

答:一般说来,三个电源分别做在三层,对信号质量比较好。因为不大可能出现信号跨平面层分割现象。跨分割是影响信号质量很关键的一个因素,而仿真软件一般都忽略了它。对于电源层和地层,对高频信号来说都是等效的。在实际中,除了考虑信号质量外,电源平面耦合(利用相邻地平面降低电源平面交流阻抗),层叠对称,都是需要考虑的因素。

7、对于全数字信号的PCB,板上有一个80MHz的钟源。除了采用丝网(接地)外,为了保证有足够的驱动能力,还应该采用什么样的电路进行保护。另外如果用单独的时钟信号板,一般采用什么样的接口,来保证时钟信号的传输受到的影响小。

答:什么是丝网(接地)是不是铺网格铜2,确保时钟的驱动能力,不应该通过保护实现,一般采用时钟驱动芯片。一般担心时钟驱动能力,是因为多个时钟负载造成。采用时钟驱动芯片,将一个时钟信号变成几个,采用点到点的连接。选择驱动芯片,除了保证与负载基本匹配,信号沿满足要求(一般时钟为沿有效信号),在计算系统时序时,要算上时钟在驱动芯片内时延。3,时钟信号越短,传输线效应越小。采用单独的时钟信号板,会增加信号布线长度。而且单板的接地供电也是问题。如果要长距离传输,建议采用差分信号。LVDS信号可以满足驱动能力要求,不过您的时钟不是太快,没有必要。

8、同一个芯片,有1个的数字电源输入,还有一个的模拟电源。能不能通过电感把两者连起来,共用一个LDO。就像数字地和模拟地连接在一起一样。另:0欧姆的电阻是干什么用的,能不能和电感互换

答:一般情况下是可以共用LDO的,经典的是pi滤波(不是用电感直接相连);但如果芯片本身对数字、模拟电源的隔离度要求很高,以致PI滤波不能满足要求的话则分别由不同的LDO供电。0ohm电阻一般用于冗余或可选设计,类似跳线器的作用,如果不考虑寄生的话是没有电感的,不能起到滤波作用,因此不能和电感互换。

9、我想知道业界在模数混合信号的设计验证方面流程。据我理解,设计验证在设计流程中具有举足轻重的作用,直接会影响到芯片最终的成败。设计验证分为不同的级别,如系统级验证、电路模块级验证、模数混合仿真和最后的物理验证或者后仿真。设计验证工程师如何能够保证系统验证与最后的版图级验证的一致性之所以这样问是因为,不同的抽象级别仿真时付出的时间代价是不一样的,可以说差距是巨大的,系统级抽象级别比较高,系统仿真可以在很短的时间内完成,但是到了版图级的验证,几乎没有办法做整个芯片的后仿真。而如果不做整个芯片的后仿真,就无法有效的保证系统仿真与最终芯片实现之间的一致性。我不知

道业界比较流行的做法是怎样的。我想知道的是一种脱离使用工具的通用流程。这应该属于方范畴,请问倪亮先生能否给予解答谢谢。

答:这是一个非常好的问题,很专业。如你所说,不同的抽象级别仿真时付出的时间代价是不一样的,有一个甚至几个数量级的时间差异是很正常的。因为随着数据量的增加,验证的计算量是指数增加的。

那么到了芯片后仿真时,特别是针对全芯片时,寄生RC参数的数据量会比原来的器件和结点数量增加很多, 这时候的计算量就多得惊人,即使有很好的硬件设施作支持,一次验证跑上几个月甚至更久都是很常见的.这时候,为了解决这个问题,通常的作法是这样的: 1、用fast-spice级别的仿真器代替spice级别的仿真器,即以牺牲一点精度换来更大的容量和速度;

2、让Digital的模块成为真正的Digital.

早期的数模混合整体验证时,因为验证工具的局限性,往往是把数字电路的gate -level也当成transistor-level来跑。这样的好处是流程简单,工具单一.但是缺点也很明显.加大了计算量,并且把更多的计算量放在到不是很需要的数字电路部分。(因为数模混合电路往往是数字部分比模拟部分多)即使可以调低一些数字电路部分的精度,那也是很大的资源浪费。现在的趋势是在提取版图时,数字部分仍然是提成gate-level,利用真正的数模混合信号仿真器来进行仿真。

3、把模拟部分抽象成高级别的AMS.这个对验证效率的提升极大。其实很多IP 也是利用AMS来进行整体验证的。

10、我想用模拟电路来解一个4阶微分方程用于实时控制,这样速度比较快。具体就是把MCU计算出的待积分信号通过D/A引入模拟积分器,积分的结果在通过A/D回送入MCU进行控制,不知这样是否可行,主要考虑精度和干扰方面。如果可行您能否推荐一款积分芯片,还是我自己搭积分电路如果把整个系统包括加法器,乘法器都设计成模拟芯片是不是可行,有什么要注意的谢谢。

答:看起来好象是可行的.也许你可以用MATLAB先试试方案.因为我不了解具体细节,所以没办法向你推荐具体的做法。你可以到网上搜搜看有没有符合你具体要求的积分芯片,如果有的话,还是用现成的吧,自己搭电路太麻烦了,并且不能保证性能。一般来说会认为加法器乘法器用数字电路来实现,如果要整合在一起做一个混合芯片也算是常见。提醒一下,这些工作不太可能由一个人独立完成.如果想验证系统可行性,可以考虑先用AMS跑跑仿真吧.

40、按照有些说法,即使是短线(当然指相对信号上升沿的速率)也会有信号完整性问题。如wire-wrap line,即使很短,由于线路电感比较大,也会使得信号失真。 XTALK11、能否详细解释一下。

2、我一直不明白wire-wrap和transmission line的区别和联系,能否说明一下。谢谢!

答:你好!1 你说的没错,即使走线很短,但是如果信号的上升/下降沿(rise/fall Time)很快的话,也会有信号完整性问题。一般判断是否要考虑信号完整性问题的标准是看:

走线长度是否大于l/6,其中L为信号在上升时间内所传输的距离(Length Of Rising Edge), L=Rise Time(ps)/Delay(ps/in.)。

2 我不太清楚你的问题,我想可能是说电缆和传输线的区别和联系,电缆是传输线的一种,传输线的定义涵盖很广,一般来说承载电信号传输的导体都是传输线。

41、请教专家,什么叫做容性串扰和感性串扰分别产生的原理以及对信号产生的影响如何我们在设计高速PCB时又怎样来减小这些串扰应该注意那些问题

答:简单地讲,由于导体之间的互容参数而引入的串扰为容性串扰而由导体之间的互感分量而引入的串扰称为感性串扰。其计算公式如下:

感性串扰:

容性串扰:

XTALK2为了减少串扰可以采取很多措施,如拉大线间距,加匹配电阻,采用差分技术等等。

42、我想问一下PCB板中的高速布线问题,我以前一直都用PROTEL来进行PCB板的设计,但是当信号频率升高时,就需要考虑很多因素,最近我看了一些关于贵公司的关于高速PCB 布线的软件的介绍,请问你们公司的软件较其它软件的优势在哪里,有什么特点。

答:您好,Cadence的PCB产品包括三个级别:Studio、Designer、和Expert。Studio级产品主要面向小规模的PCB设计、Designer主要面向中小规模的PCB设计、Expert主要面向要求高性能、大规模的PCB设计。三个级别的产品可以根据客户的需求进行灵活配置。以本人的应用经验来讲,个人认为Cadence软件的前端到后端,分析设计之间的统一的综合平台是其最大的特点。也就是说,分析和设计是在一个平台上完成的,二者之间的交互非常友好,从事高速设计的话,这一点已越来越重要。Cadence软件在高速设计方面有很多分析模块:信号完整性分析模块,电源完整性分析模块Power Integrity(个人认为很有特点)、EMC规则检查模块EMControl等,当然这些模块都是集成在统一的平台上的。以上只是从高速分析设计的方面谈了个人对Cadence软件的看法,供您参考。

如果您想试用Cadence软件的话,您可以联系Cadence当地的Sales,申请一个临时License 进行试用,这样您就可以对Cadence软件有更深入的了解。

43、板子上几乎所有的重要信号线都设计成差分线对,目的在增强信号抗干扰能力.那俺一直有很多困惑的地方: 1.是否差分信号只定义在仿真信号或数字信号或都有定 2.在实际的线路图中差分线对上的网罗如滤波器,应如何分析其频率响应,是否还是与分析一般的二端口网罗的方法一样 3.差分线对上承载的差分信号如何转换成一般的信号差分线对上的信号波形是怎样的,相互之间的关系如何请指教.

答:差分信号只是使用两根信号线传输一路信号,依靠信号间电压差进行判决的电路,既可以是模拟信号,也可以是数字信号。实际的信号都是模拟信号,数字信号只是模拟信号用门限电平量化后的取样结果。因此差分信号对于数字和模拟信号都可以定义。

2,差分信号的频率响应,这个问题好。实际差分端口是一个四端口网络,它存在差模和共模两种分析方式。如下图所示。在分析频率相应的时候,要分别添加同极性的共模扫频源和互为反极性的差模扫频源。而相应端需要相应设置共模电压测试点Vcm=(V1+V2)/2, 和差模电压测试点Vdm=V1-V2。网络上有很多关于差分信号阻抗计算和原理的文章,可以详细了解一下。

3,差分信号通常进入差分驱动电路,放大后得到差分信号。最简单的就是差分共射镜像放大器电路了,这个在一般的模拟电路教材都有介绍。下图是某差分放大器件的spice电路图和输出信号波形,一般需要他们完全反相,有足够的电压差大于差模电压门限。当然信号不可避免有共模成分,所以差分放大器一个很重要的指标就是共模抑制比Kcmr=Adm/Acm。

44、小弟最近正想搞个0--150M,增益不小于80 DB的宽带放大器,!请问在EMC方面应该注意什么问题呢谢谢!

答:宽带放大器设计时特别要注意低噪声问题,比如要电源供给必须足够稳定等。具体如下:

1. 注意输入和数出的阻抗匹配问题,比如共基输入射随输出等;

2. 各级的退耦问题,包括高频和低频纹波等;

3. 深度负反馈,以及防止自激振荡和环回自激等;

4. 带通滤波气的设计问题;

45、请问ansoft的工具对1GHz以上的数模变化电路能仿真吗能详细说说可以仿真哪些方面的问题。

答:高速AD设计的确是比较困难的问题,为了获得更好的有效位数,需要考察的信号往往毫伏甚至微伏级,而数字信号噪声干扰,模拟信号受干扰情况,电源地的划分等问题混合在一起。我们在实际的工程设计中,把它门划分为以下几个方面的问题,一步步仿真分析,加以解决,并取得不错的效果:

1,PCB的平面层的谐振模式分析:考查PCB上平面层对不同频率的波的谐振状况,从而找到最佳的布局位置和布线路径。

2,电源,地分割的隔离度分析:分割开的平面层需要达到一定的隔离度,才能有效抑制噪声干扰。

3,电源阻抗分析:电源的阻抗达到一定的一定的目标,可以有效降低平面噪声。

4,去耦策略:电容可以改变平面的谐振特性,改善平面的隔离度,有效降低电源阻抗;通过what-if分析,模拟添加电容的容值和位置对信号的影响。

5,模拟信号的通道特性:模拟信号不同于数字信号,有效频带内具有连续谱,要保证模拟信号无畸变的传播,需要考察连续频域的通道特性。

6,数字信号同步开关噪声分析:由于快速开关的数字门电路,会在数字电源平面耦合噪声,并累积,这个就是同步开关噪声,ansoft工具可以考察任意多端口的数字信号对电源的噪声影响。

7,电压源扫频分析:考察在电源上独立扫频电压源或者信号端的受控扫频电压源的噪声电压分布情况。

46、我们现在测量PCB电磁辐射很麻烦,采用的是频谱仪加自制的近场探头,先不说精度的问题,光是遇到大电压的点都很头疼,生怕频谱仪受损。不知能否通过仿真的方法解决!

答:首先,EMI的测试包括近场探头和远场的辐射测试,任何仿真工具都不可能替代实际的测试;其次,Ansoft的PCB单板噪声和辐射仿真工具SIwave和任意三维结构的高频结构仿真器HFSS分别可以仿真单板和系统的近场和远场辐射,以及在有限屏蔽环境下的EMI辐射。仿真的有效性,取决于你对自己设计的EMI问题的考虑以及相应的软件设置。例如:单板上差模还是共模辐射,电流源还是电压源辐射等等。就我们的一些实践和经验,绝大多数的EMI问题都可以通过仿真分析解决,而且与实际测试比较,效果非常好。

47、我们板上频率最高的时钟线是主芯片到SDRAM的只有133MHz,其余大部分的频率都是KHz级别的。我们主要用Hyperlynx做的SI/PI设计,操作比较简单,但是现在整板的EMC 依旧超标,影响画面质量。希望听听EMC专家的意见。另外,你们的工具和Mentor PADS

有接口吗

答:Ansoft的工具可以仿真从直流到几十GHz以上频率的信号,只是相对其他工具而言,1GHz以上的有损传输线模型更加精确。据我所知,HyperLynx主要是做SI和crosstalk的仿真,以及一点单根信号线的EMI辐射分析,目前还没有PI分析的功能。影响单板的EMC 的原因很多,解决信号完整性和串扰只是解决EMC的其中一方面,电源平面的噪声,去耦策略,屏蔽方式,电流分布路径等都会影响到EMC指标。这些都可以再ansoft的SIwave工具中,通过仿真进行考察。补充说明,ansoft的工具与Mentor PADS有接口。

2016黑龙江大学数模混合报告

逐次逼近寄存器型ADC设计报告课程名称:数模混合集成电路设计 专业(年级):集成电路设计与集成系统(13)组员(学号): 提交日期:2016/11/25

一、课程设计参数要求: 设计一个8 bit逐次逼近寄存器型模数转换器SAR ADC 二、基本结构及原理: 1. 逐次逼近寄存器型模数转换器(SAR ADC)整体结构: 2. 逐次逼近寄存器型模数转换器(SAR ADC)工作原理: SAR ADC其基本结构如图1所示,包括采样保持电路(S/H)、比较器(COMPARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER)和逻辑控制单元(SAR LOGIC)。模拟输入电压V IN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR LOGIC控制N位寄存器设置在中间刻度,即令最高有效位MSB 为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压V DAC为0.5V REF,其中V REF为提供给ADC的基准电压。由比较器对V IN和V DAC进行比较,若V IN>V DAC,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若V IN

“1”,其余位置“0”,进行下一次比较,直至最低有效位LSB比较完毕。整个过程结束,即完成了一次模拟量到数字量的转换,N位转换结果存储在寄存器内,并由此最终输出所转化模拟量的数字码。 三、课程设计的内容要求: 1.组员分工:要求分工内容明确合理,体现工作量 2. 各模拟子模块设计内容要求:详实完整,结果准确 (1)给出电路结构原理图(Sedit),并进行工作原理的描述 (2)根据设计要求,运用理论公式进行理论计算,初步确定电路参数 (3)给出各模块完整的仿真网表(Spice) (4)给出对应的仿真结果图,并对结果图中所显示的功能或结果数值进行分析说明(5)给出对应模块的版图(Ledit),要求在版图中标出该模块与外界连接的各端口名称,并用标尺标出版图尺寸值,计算该模块的版图面积 3. 数字子模块设计内容要求:详实完整,结果准确 (1)给出Verilog网表(包括测试模块和调用模块两个网表) (2)给出仿真结果图,并对结果图中所显示的功能或结果数值进行详细说明 4. 结论要求:对整体工作进行总结,对所做课题结果进行说明,给出各设计指标是 否满足设计要求,电路功能是否实现,给出整体电路的功耗、面积值; 对设计过程中存在的问题和不足进行说明 5. 参考文献要求:要求查阅中、英文文献不少于10篇,英文文献需占40%左右 参考文献书写格式如下: [1] 文章名,作者,文章发表的期刊名,期刊的卷号、期号,所参考的页数文章 发表时间。(要求所查文献为近五年内的文章) [2] 书名,作者,出版社,出版时间,所参考的页数。 提示:最终提交报告用A4纸打印,每组提交一份,页数20页左右

数模混合设计实验报告

数模混合设计 实验报告 作者:竹叶听筝 时间:2012年12月05日课程题目:声光报警系统

摘要:声光报警器在实际的生活中可以见到许多,运用于生活的许多方面。声光报警电路可作为防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警。声光报警器可用在危险场所,通过声音和光信号向人们发出示警信息。 Abstract: sound and light alarm can be seen in real life many, used in many aspects of life. Sound and light alarm circuit can be used as anti-theft device, when it lights flash and buzzer tweet, alarm at the same time. Sound and light alarms can be used in hazardous locations, issued a warning to people through sound and light signals. 关键词:报警器声音光信号示警 1、设计原理 根据设定的基准报警电压。当输入电压超出报警值时发出声和光报警信号。当输入电压信号减小恢复到报警值以下时,要求有一定的回程余量才能撤销报警信号。也就是要实现电压信号的迟滞比较功能。LED灯闪烁,蜂鸣器报警。 2、方案比较 方案一:通过单片机控制进行AD采样计算,当采样电压超过,设定输入电压时,通过单片机控制LED闪烁,蜂鸣器报警,当输入电压小于设定Vh电压时,单片机撤销报警信号。此方案性能稳定,思路清晰,但性价比不高,涉及微处理器,以及软件编程,开发难度较大。 方案二:采用LM311滞回比较器,比较输入电压值,当大于设定电压时,比较器输出端为高电平,通过光电耦合器,进行传递信号,通过555定时器输出1HZ频率脉冲,是LED灯闪烁,同时蜂鸣器报警,当输入电压小于阈值电压时,LM311输入低电平,撤销报警信号。此方案采用纯硬件方法实现神声光报警,具有成本低,调试容易且通过光耦合器进行数字电路和模拟电路的隔离,同样也具有较高的稳定性。三、系统总体方案描述

数模混合设计报告

数模报告 时钟电路的设计与制作 成都理工大学工程技术学院 专业:电子信息科学与技术 学号: 指导教师: 姓名: 日期:

计时电路设计原理与制作 一、设计任务 设计并制作一个60秒计时电路,要求自制直流稳压电源,能够提供给数字时钟+5V的电压。同时具有手动复位的功能,能够产生一个1Hz的秒计时脉冲。并且具有进位功能能够显示出完整的24小时制的时钟电路,同时具有手动校时电路,能够对计时电路手动校正时间,校时电路包括对分、时校时。设计并仿真出时、分电路。 1、模拟电路部分设计要求 (1)制作输出电压可调的直流稳压电源,输出电压范围为 1.25~15V,通过电位器调节至5V。 (2)该直流稳压电源可供数字电路正常工作。 2、数字电路部分设计要求 (1)设计一个具有“时”、“分”、“秒”显示的电子钟(23小时59分59

秒)如图,应具有校时功能。 时分秒 . . . . 二、设计思路 1、直流稳压电源:为时钟电路提供一个+5V 的电压,驱动时 钟电路的正常工作。 2、脉冲产生模块:能够产生秒脉冲信号,从而实现对计时模块的控制。 3、计时循环模块:能够对时钟脉冲计数,并且能够对计数电路自动复位。

4、译码显示模块:用数码管将计数循环电路模块的状态转换为数字显示出来。 5、秒控制模块:实现对秒计时器的复位功能。 6、时、分校时模块:能够实现对电路中的时、分显示进行校时。 三、设计方案 1、直流稳压电源:通过变压器将220V的家庭用电降为电压更低的正弦交流电(如22V),然后通过电桥(整流电路,利用单向导电性能的整流元件)将正负交替变化的正弦交流电压转换成单方向的脉动直流电压,通过滤波电路尽可能的将单向脉动直流电压中的脉动部分(交流分量)减小,使输出电压成平滑的直流电压。再通过稳压芯片使输出的直流电压在电源发生波动或负载变化时保持稳定。常用的稳压芯片有7815、7805、7809、LM317等。 2、多谐振荡电路:多谐振荡器是一种能够产生矩形波的自激振荡器,也称矩形波形发生器。多谐指矩形波中除了基波成分外,还有高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态,在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。具体地说,如果开始时多谐振荡处于0状态,那么它在0状态停留一段时间后将自动转入1状态,在1状态停留一段时间后又将自动转入0状态,如此周而复始,输出矩形波。通过对电容、电阻的计算来确定1秒的脉冲信号,实现对计数器的时钟控制,多谐振荡器在接通电源以后,不需要外触发信号,便能够自动产生矩形脉冲。多谐振荡器又很多种,例如对称

电子竞赛中作品设计的一般步骤

电子竞赛中作品设计的一般步骤

————————————————————————————————作者:————————————————————————————————日期:

6.2 电子竞赛作品设计制作步骤 与一般的电子产品设计制作不同的是,电子设计竞赛作品设计制作一方面需要遵守电子产品设计制作的一般规律,另一方面要在限定时间、限定人数、限制设计制作条件、限制交流等情况下完成作品的设计制作,电子竞赛作品设计制作有自己的规律。电子竞赛作品设计制作大约需经过题目选择、系统方案论证、子系统、部件设计与制作、系统综合、调试与测量等步骤,最后完成作品和设计总结报告。 6.2.1 题目选择 全国大学生电子设计竞赛作品设计制作时间是4天3晚,3人一组。竞赛题目一般为5~6题,题目在竞赛开始时(第1天的8.00)开启。以2003年第6届为例共有6题:电压控制LC振荡器(A题)、宽带放大器(B题)、低频数字式相位测量仪(C题)、简易逻辑分析仪(D题)、简易智能电动车(E题)、液体点滴速度监控装置(F题)。 正确地选择竞赛题目是保证竞赛成功的关键。参赛队员应仔细阅读所有的竞赛题目,根据自己组3个队员的训练情况,选择相应的题目进行参赛制作。 选择题目按照如下原则进行: (1)明确设计任务,即“做什么?”。选择题目应注意题目中不应该有知识盲点,即要能够看懂题目要求。如果不能看懂题目要求,原则上该题目是不 可选择的。因为时间是非常紧张的,没有更多的时间让你去重新学习,另外根 据竞赛纪律,也不可以去请教老师。 (2)明确系统功能和指标,即“做到什么程度?”。注意题目中的设计要求一般分基本要求和发挥部分两部分,各占50分。应注意的是基本部分的各 项分值题目中是没有给出的,但在发挥部分往往会给出的各小项的分值。选择 时要仔细分析各项要求,综合两方面的要求,以取得较好的成绩。 (3)要确定是否具有完成该设计的元器件、最小系统、开发工具、测量仪器仪表等条件。 在没有对竞赛题目进行充分地分析之前,一定不能够进行设计。题目一旦选定,原则上是应保证不要中途更改。因为竞赛时间只有4天3晚,时间上不允许返工重来。 6.2.2 系统方案论证 题目选定后,需要考虑的问题是如何实现题目的各项要求,完成作品的制作,即需要

数模混合设计

数模混合课程设计 实践报告 题目:FM发射机设计 指导老师:徐灵飞 系别:电子信息与信息工程系 班级:电子信息工程1班 姓名:周荣 学号:201320107104 2015年4月13日

摘要: 该实验主要包括三个电路:电源电路、数字电路、模拟电路;其中电源电路有以LM7805为主要所构成的电源电路以及以单片机STC89C52为主要所构成的电源电路两部分组成,数字电路由复位、晶振及按键电路以及LED电路两部分组成,模拟也由FM调制电路以及音频检测电路两部分组成;通过三部分的同步合作,最终实现了由发射者通过调解频率使之接受者能够接收到发射者覆盖的相应频率的信息,方便实用。 系统设计 1.总体框图 单片机独立按键 输入电压 在此可设定 FM输出频 率FM调制电 路 光电报警 5V线性整流稳 压电路 12V输入 LED数码管显 示 音频输入 音频检测 音频信号强度 LED灯显示

2.系统各部分电路图

PCB图

设计内容及要求 1.(1)单片机里面的程序烧写,需要在单片机实验室借一台开发板,直接进 行烧写。 2.元器件和跳线都在电路板正面安装。绘制PCB时一定要注意元件引脚的极性如,二极管及电解电容。对于三极管,最好查阅对应的数据手册,确定正反面(对于TO-92A封装的器件来讲,一般平的一面是正面)及PCB封装引脚的顺序。 3.调试时应采用分步调试方法,先焊接电源电路,调出5V输出电压,再焊接数字电路部分(单片机及相关外围电路)的元件,调出按键和LED数码管电路(等够通过按键改变LED显示内容-FM频率)。然后再焊接模拟电路部分的元件(音频检测电路和FM调制电路),调FM调制电路。在调试过程中按步骤尽心,谁是排除出现的故障,直至最后整体电路板调试成功。 元器件清单

数模混合电路的PCB设计

数模混合电路的PCB设计 高速PCB 设计中,数模混合电路的PCB 设计中的干扰问题一直是一个难题。尤其模拟电路一般是信号的源头,能否正确接收和转换信号是PCB 设计要考虑的重要因素。文章通过分析混合电路干扰产生的机理,结合设计实践,探讨了混合电路一般处理方法,并通过设计实例得到验证。 0 前言 印制电路板(PCB)是电子产品中电路元件和器件的支撑件,它提供电路元件和器件之间的电气连接。现在有许多PCB 不再是单一功能电路,而是由数字电路和模拟电路混合构成的。数据一般在模拟电路中采集和接收,而带宽、增益用软件实现控制则必须数字化,所以在一块板上经常同时存在数字电路和模拟电路,甚至共享相同的元件。考虑到它们之间的相互干扰问题以及对电路性能的影响,电路的布局和布线必须要有一定的原则。混合信号PCB 设计中对电源传输线的特殊要求以及隔离模拟和数字电路之间噪声耦合的要求,增加了设计时布局和布线的复杂度。在此,通过分析高密度混合信号PCB 的布局和布线设计,来达到要求的PCB 设计目标。 1 数模混合电路干扰的产生机理 模拟信号与数字信号相比,对噪声的敏感程度要大得多,因为模拟电路的工作依赖连续变化的电流和电压,任何微小的干扰都能影响它的正常工作,而数字电路的工作依赖在接收端根据预先定义的电压电平或门限对高电平或低电平的检测,具有一定的抗干扰能力。但在混合信号环境中,数字信号相对模拟信号而言是一种噪声源。数字电路工作时,稳定的有效电压只有高低电平两种电压。当数字逻辑输出由高电压变为低电压,该器件的接地管脚就会放电,产生开关电流,这就是电路的开关动作。数字电路的速度越快,其开关时间一般也

数模混合仿真详细文档

用SpectreVerilog进行模数混仿,以Sigma-Delta ADC为例 SpectreVerilog模数混仿, 模拟部分用Spectre, 数字部分用Verilog-XL. 所以还需要安装Cadence LDV软件, 其内含Verilog-XL仿真器. 这里以自行设计的二阶全差分Sigma-Delta ADC为例, 详细介绍用SpectreVerilog的仿真过程. 所用工艺库为TSMC 0.18u,电源电压:1.8V. 1. 准备 Sigma-Delta ADC分模拟和数字部分两块, 其中模拟部分为调制器, 数字部分为数字滤波器. 如下图. 其中out为调制器的输出, 这里是1位0,1数据流. 数字滤波器为Verilog RTL级代码. Schematic: Symbol:

Verilog Code: module DigitalFilter (in2out, out, clk, clr, in); output in2out; output [`wordsize-1:0] out; input clk; input clr; input in; reg in2out; wire clk_half1, clk_half2; …… Endmodule 同时为了直观的观看输出结果,因此把输出的数字字转化为模拟量,这里用Verilog-A做一个理想的DA转换器。 因此最好事先用Spectre仿真模拟部分, 用ModelSim或Verilog-XL等仿真数字部分. 这里假定我们已有: 1) 模拟部分的原理图(包括Symbol); 2) 数字部分的Verilog代码,DigitalFilter.v, 模块名:DigitalFilter(in2out,out,clk, clr,in); 3) 数字部分的TestBench代码, DigitalFilter_TB.v, 模块名: DigitalFilter_TB. 下图为最终的系统图:

数模混合IC设计流程

数模混合IC设计流程 1.数模混合IC设计 近十年来,随着深亚微米及纳米技术的发展,促使芯片设计与制造由分离IC、ASIC 向SoC转变,现在SoC芯片也由数字SoC全面转向混合SoC,成为真正意义上的系统级芯片。如今人们可以在一块芯片上集成数亿只晶体管和多种类型的电路结构。此时芯片的制造工艺已经超越了传统制造理论的界限,对电路的物理实现具有不可忽略的影响。因此,片上系统所依赖的半导体物理实现方式,面临着多样化和复杂化的趋势,设计周期也越来越长。目前越来越多的设计正向混合信号发展。最近,IBS Corp做过的一个研究预测,到2006年,所有的集成电路设计中,有73%将为混合信号设计。目前混合信号技术正是EDA业内最为热门的话题。设计师在最近才开始注意到混合信号设计并严肃对待,在他们意识到这一领域成为热点之前,EDA公司已经先行多年。EDA业内领头的三大供应商Mentor Graphics、Synopsys和Cadence在几年前即开始合并或研发模拟和混合信号工具和技术。其中Mentor Graphics是第一个意识到这一点,并投入力量发展混合信号技术的EDA供应商。 我们先分析数模混合IC设计的 流程,简单概括如图: 首先要对整个IC芯片进行理论 上的设计。对于模拟部分,可以直接 在原理图的输入工具中进行线路设 计;而对于数字部分,主要通过各种 硬件描述语言来进行设计,比如通用 的VHDL及Verilog,数字部分的设 计也可以直接输入到原理图工具中。 当完成原理图的设计时,必须对设计 及时的进行验证。如果原理设计没有 问题,就说明设计是可行的,但这还 停留在理论的阶段,接下来必须将它 转换为实际的产品。这时需要用版图 工具将电路设计实现出来,对于模拟 电路部分,可以使用定制版图工具; 对于数字电路部分,也可以采用P&R (自动布局布线)工具实现。在完成 整个电路各个模块的版图后,再将它 们拼装成最终的版图。这时的版图并 不能最终代表前面所验证过的设计, 必须对它进行验证。首先版图要符合 流片工艺的要求,这时要对版图做DRC(Design Rule Check)检查;而版图的逻辑关系是不是代表原理图中所设计的,同样要进行LVS(Layout Versus Schematic)检查;最后,由于在实现版图的过程中引入了许多寄生效应,这些寄生的电阻电容有可能对我们的设计产生致

20120523-数模混合电路设计流程

数模混合电路设计流程 马昭鑫 2012/5/23 本文主要面向模拟电路设计者,讲解了从行为级代码形式的数字电路到数模混合版图之间的流程,默认模拟版图和数字电路的行为级代码、testbench已经完成。阅读者需确定自己会编写Verilog或Spice格式的网表,熟悉Linux的文件操作,了解Spectre、Virtuoso、Calibre、Modelsim、Design Compiler(dc)、Astro等EDA工具的使用方法。 由于本人才疏学浅,经验不足,难免会在文中出现一些错误,恳请高手给予指正。 数模混合电路的仿真方法 一般的设计流程中数字电路和模拟电路是分开进行设计的,但有些时候希望能将数字电路和模拟电路放在一起仿真来验证设计,这就需要用到混合电路的仿真方法。在Cadence 工具中有专门用作混合电路仿真的仿真器spectreVerilog,其实现方法是首先将模拟模块与数字模块区分开并设置接口电平,然后在ADE中设置数字电路的测试代码,调用不同的仿真器分别对数字模块和模拟模块进行仿真,最后将结果汇总显示或输出。 下面将以一个简单实例的形式讲解混合电路的仿真方法。 一、建立数字模块 ①在命令行中输入下面的命令设置NC-Verilog和Cadence并启动Cadence; setdt ldv setdt ic icfb& ②建立Library的方法不再累述,创建Cell view时注意Tool选择Verilog-Editor,View Name 填写functional;

③点击OK后会弹出有模块代码框架的vi窗口,将设计需要的代码输入或粘贴进去; ④保存并关闭后如果没有错误会弹出创建Symbol View的询问对话框,确定后会进入Symbol编辑器,并自动生成了Symbol(注意在Cadence中总线用尖括号<>表示); ⑤保存并关闭Symbol编辑器。 至此已经完成了数字模块的创建。 二、建立模拟模块 模拟电路的创建方法无需赘述,这里搭建了一个输出频率为10MHz的环形振荡器。

数模混合仿真详细文档.

用 SpectreVerilog 进行模数混仿,以 Sigma-Delta ADC为例 SpectreVerilog 模数混仿 , 模拟部分用 Spectre, 数字部分用 Verilog-XL. 所以还需要安装 Cadence LDV软件 , 其内含 Verilog-XL 仿真器 . 这里以自行设计的二阶全差分 Sigma-Delta ADC为例 , 详细介绍用SpectreVerilog 的仿真过程 . 所用工艺库为 TSMC 0.18u,电源电压:1.8V. 1. 准备 Sigma-Delta ADC分模拟和数字部分两块 , 其中模拟部分为调制器 , 数字部分为数字滤波器 . 如下图 . 其中 out 为调制器的输出 , 这里是 1位 0, 1数据流 . 数字滤波器为 Verilog RTL级代码 . Schematic : Symbol :

Verilog Code: module DigitalFilter (in2out, out, clk, clr, in; output in2out; output [`wordsize-1:0] out; input clk; input clr; input in; reg in2out; wire clk_half1, clk_half2; …… Endmodule 同时为了直观的观看输出结果,因此把输出的数字字转化为模拟量,这里用Verilog-A 做一个理想的 DA 转换器。 因此最好事先用 Spectre 仿真模拟部分 , 用 ModelSim 或 Verilog-XL 等仿真数字部分 . 这里假定我们已有 :

设计数模混合电路抗干扰的秘密

设计数模混合电路抗干扰的秘密 数模混合电路设计当中,干扰源、干扰对象和干扰途径的辨别是分析数模混合设计干扰的基础。通常的电路中,模拟信号上由于存在随时间变化的连续变化的电压和电流有效成分,在设计和调试过程中,需要同时控制这两个变量,而且他们对于外部的干扰更敏感,因而通常作为被干扰对象做分析;数字信号上只有随时间变化的门限量化后的电压成分,相比模拟信号对干扰有较高的承受能力,但是这类信号变化快,特别是变化沿速度快,还有较高的高频谐波成分,对外释放能量,通常作为干扰源。 作为干扰源的数字电路部分多采用CMOS工艺,从而导致数字信号输入端极高的输入电阻,通常在几十k欧到上兆欧姆。这样高的内阻导致数字信号上的电流非常微弱,因而只有电压有效信号在起作用,在数模混合干扰分析中,这类信号可以作为电压型干扰源,如CLK 信号,Reset等信号。除了快速交变的数字信号,数字信号的电源管脚上,由于引脚电感和互感引起的同步开关噪声(SSN),也是数模混合电路中存在的重要一类电压型干扰源。此外,电路中还存在一些电流信号,特别是直流电源到器件负载之间的电源信号上有较大的电流,根据右手螺旋定理,电流信号周围会感应出磁场,进而引起变化的电场,在分析时,直流电源作为电流型干扰源。 无论电压型还是电流型的干扰源,在耦合到被干扰对象时,既可能通过电路传导耦合,也可能通过空间电磁场耦合,或者二者兼有。然而一般的仿真分析工具,往往由于功能所限,只能分析其中一种。例如在传统的SPICE电路仿真工具中,只考虑电路传导型的干扰,并不考虑空间电磁场的耦合;而一般的PCB 信号完整性(SI)分析工具,只考察空间电磁场耦合,将所有的电源、地都看作理想DC直流,不予分析考虑。耦合路径提取的不完整,也是困扰数模混合噪声分析的重要原因。 数模混合设计中,电源和地的划分,是业内争论的焦点。传统的设计中,数字模拟部分被严格分开;然而随着系统越来越复杂,数模电路集成度不断提高,分割又会造成数字信号跨分割,信号回流不完整,进而影响信号完整性,另外,电源的分割还造成电源分配系统的阻抗过高;有人提出“单点连接”:还是做分割,但是在跨分割的信号下方单点连接以避免跨分割问题;但是如果数模之间信号很多,难于分开,这种“单点连接”也存在困难,因而又有人提出不分割,只是保持数字和模拟部分不要交叉;还有一些资料介绍,在跨分割的信号旁边包地线或者并联的电容,用来提供完整回流路径。无论哪种方法,似乎都有一定道理,而且都有成功的先例,然而所有这些分割方案的有效性以及可能存在的问题,一直没有检验的标准。 数模混合电路的仿真,还存在模型的问题。业界普遍接受的模拟电路仿真模型还是SPICE 模型,数字电路信号完整性分析使用IBIS模型。多家EDA公司的仿真软件已经推出支持多种模型的混合模型仿真器,然而摆在设计师案头的主要困难是器件模型,特别是模拟器件模型很难得到。在数字设计看来,时域的瞬态分析,即某一时间点上确定的电压值,是仿真的主要手段,就像调试中的示波器那样直观。没有精确的模型,瞬态分析就无法实现。然而对模拟设计,特别是噪声分析,激励源在时间轴上难于描述或很难预测,只知道他的频率带宽范围和大致幅度,这时候我们通常会引入频域扫频分析,考察扫频信号在关注点的变化,如同频谱分析仪的作用。或者干脆如网络分析仪(NA)那样考察信号或噪声通过的通道的频域SYZ参数,进而预测干扰发生的频率和幅度。可见,数模混合噪声分析,既需要支持混合模型的仿真器,也需要仿真器同时支持时域分析和频域分析。

2018年高性能数模混合多媒体芯片行业分析报告

2018年高性能数模混合多媒体芯片行业分析报告 2018年3月

目录 一、行业主管部门、监管体制、主要法律法规及政策 (5) 1、行业主管部门和监管体制 (5) 2、主要法律法规及政策 (5) 二、集成电路行业情况 (9) 1、集成电路行业产业链情况 (9) 2、集成电路行业整体发展情况 (9) (1)全球集成电路行业整体发展情况 (9) (2)我国集成电路行业发展情况 (10) 三、外部显示接口行业情况 (12) 1、外部显示接口行业产业链情况 (12) 2、外部显示接口行业发展情况 (12) (1)外部显示接口种类 (12) ①有线显示传输标准 (13) A.VGA (13) B.DVI (14) C.HDMI (14) D.DisplayPort (15) E.MHL (16) F. Thunderbolt (17) ②无线传输技术 (17) A. WiGig技术 (17) B. WirelessHD (18) C. WHDI技术 (19) E. WIDI技术 (19) (2)外部显示接口行业未来发展趋势 (20)

①显示接口与其他接口功能融合 (20) ②接口标准统一化 (21) ③在接口功能融合、接口标准统一的USB Type-C时代,Displayport传输标准在有 线显示传输领域的市场前景最为广阔 (23) ④有线传输仍占据领导地位 (24) 四、显示面板时序控制器行业情况 (25) 1、显示面板时序控制器介绍 (25) 2、显示面板时序控制器的发展趋势 (25) (1)eDP将成为TCON的主流 (25) ①eDP TCON具有更高的传输速度及更薄的设计 (26) ②eDP TCON具有较少的电磁干扰和无线电频率干扰问题 (27) ③eDP TCON更省电并延长电池使用寿命 (27) (2)设计难度加大,市场门槛提高 (27) 五、行业未来市场空间 (28) 1、智能手机 (28) 2、电脑(PC) (29) 3、平板电脑 (30) 4、4K液晶显示面板(LCD) (31) 5、VR (32) 六、行业主要企业简况 (33) 1、Texas InstrumentsInc.(德州仪器公司) (33) 2、NXP SemiconductorsN.V.(恩智浦半导体公司) (33) 3、Lattice Semiconductor Corporation(莱迪思半导体公司) (34) 4、Cypress Semiconductor Corporation(赛普拉斯半导体公司) (35) 5、Fairchild Semiconductor International, Inc.(仙童半导体/飞兆半导体公司)

数模混合电路的设计(很详细规范)

目录: 前言 一、数模混合设计的难点 二、提高数模混合电路性能的关键 三、仿真工具在数模混合设计中的应用 四、小结 五、混合信号PCB设计基础问答 前言: 数模混合电路的设计,一直是困扰硬件电路设计师提高性能的瓶颈。众所周知,现实的世界都是模拟的,只有将模拟的信号转变成数字信号,才方便做进一步的处理。模拟信号和数字信号的转变是否实时、精确,是电路设计的重要指标。除了器件工艺,算法的进步会影响系统数模变换的精度外,现实世界中众多干扰,噪声也是困扰数模电路性能的主要因素。本文通过Ansoft公司的“AD-Mix Sig nal Noise Design Suites” 数模混合噪声仿真设计软件的对数模混合设计PCB 的仿真,探索分析数模混合电路的噪声干扰和优化设计的途径,以达到改善系统性能目的。 一、数模混合设计的难点 数模混合电路设计当中,干扰源、干扰对象和干扰途径的辨别是分析数模混合设计干扰的基础。通常的电路中,模拟信号上由于存在随时间变化的连续变化的电压和电流有效成分,在设计和调试过程中,需要同时控制这两个变量,而且他们对于外部的干扰更敏感,因而通常作为被干扰对象做分析;数字信号上只有随时间变化的门限量化后的电压成分,相比模拟信号对干扰有较高的承受能力,但是这类信号变化快,特别是变化沿速度快,还有较高的高频谐波成分,对外释放能量,通常作为干扰源。 作为干扰源的数字电路部分多采用CMOS工艺,从而导致数字信号输入端极高的输入电阻,通常在几十k欧到上兆欧姆。这样高的内阻导致数字信号上的电流非常微弱,因而只有电压有效信号在起作用,在数模混合干扰分析中,这类信号可以作为电压型干扰源,如CLK信号,Reset等信号。除了快速交变的数字信号,数字信号的电源管脚上,由于引脚电感和互感引起的同步开关噪声(SSN),也是数模混合电路中存在的重要一类电压型干扰源。此外,电路中还存在一些电流信号,特别是直流电源到器件负载之间的电源信号上有较大的电流,根据右手螺旋定理,电流信号周围会感应出磁场,进而引起变化的电场,在分析时,直流电源作为电流型干扰源。

IC设计流程之实现篇——全定制设计

IC设计流程之实现篇——全定制设计 要谈IC设计的流程,首先得搞清楚IC和IC设计的分类。 集成电路芯片从用途上可以分为两大类:通用IC(如CPU、DRAM/SRAM、接口芯片等)和专用IC(ASIC)(Application Specific Integrated Circuit),ASIC是特定用途的IC。从结构上可以分为数字IC、模拟IC和数模混合IC三种,而SOC(System On Chip,从属于数模混合IC)则会成为IC设计的主流。从实现方法上IC设计又可以分为三种,全定制(full custom)、半定制(Semi-custom)和基于可编程器件的IC设计。全定制设计方法是指基于晶体管级,所有器件和互连版图都用手工生成的设计方法,这种方法比较适合大批量生产、要求集成度高、速度快、面积小、功耗低的通用IC或ASIC。基于门阵列(gate-array)和标准单元(standard-cell)的半定制设计由于其成本低、周期短、芯片利用率低而适合于小批量、速度快的芯片。最后一种IC设计方向,则是基于PLD或FPGA器件的IC设计模式,是一种“快速原型设计”,因其易用性和可编程性受到对IC制造工艺不甚熟悉的系统集成用户的欢迎,最大的特点就是只需懂得硬件描述语言就可以使用EDA工具写入芯片功能。 从采用的工艺可以分成双极型(bipolar),MOS和其他的特殊工艺。硅(Si)基半导体工艺中的双极型器件由于功耗大、集成度相对低,在近年随亚微米深亚微米工艺的的迅速发展,在速度上对MOS管已不具优势,因而很快被集成度高,功耗低、抗干扰能力强的MOS管所替代。MOSFET工艺又可分为NMOS、PMOS和CMOS三种;其中CMOS工艺发展已经十分成熟,占据IC市场的绝大部分份额。GaAs器件因为其在高频领域(可以在0.35um下很轻松作到10GHz)如微波IC中的广泛应用,其特殊的工艺也得到了深入研究。而应用于视频采集领域的CCD传感器虽然也使用IC一样的平面工艺,但其实现和标准半导体工艺有很大不同。 在IC开发中,常常会根据项目的要求(Specifications)、经费和EDA工具以及人力资源、并考虑代工厂的工艺实际,采用不同的实现方法。 其实IC设计这个领域博大精深,所涉及的知识工具领域很广,本系列博文围绕EDA工具展开,以实现方法的不同为主线,来介绍这三种不同的设计方法:全定制、半定制和基于FPGA 的IC设计,这三种方法在EDA工具和流程上都有各自鲜明的特色,通过介绍这三种IC设计方法可以让大家对IC设计有个清晰的思路,也顺便介绍了其中涉及到的大多数EDA工具,并且避免了读者陷入IC领域的某些细节中而不能一窥全貌之嫌。其实,无论是IC和ASIC,还是I/O芯片、CPU芯片在EDA工具上的区别都不明显,并且涉及某些应用领域的特定的知识,需要读者具备一定的背景知识,不适合用来作为介绍IC的设计流程的入门级题材。 全定制IC设计方法,是按照规定的功能与性能要求,先设计出满足功能的电路,然后对电路的布局与布线进行专门的优化设计,以达到芯片的最佳性能。全定制IC设计的主要EDA 工具有Cadence的Virtuoso、Synopsys的Custom Designer(CD)等,这两款工具实际上提供一个集成设计环境,在这个环境里用户可以方便地配置和利用各家EDA的工具来完成各个设计阶段的任务。首先来看一看它的设计基本流程(如下图)。

数模混合课程设计PCB制作步骤培训讲学

数模混合课程设计 1电路原理图与电路PCB图绘制要求 1.电路原理图绘制要求: 利用Protel99se绘制本课件所提供的模拟电路和数字电路的原理图,按照我们所提供的元器件确定元件封装,进行ERC检查无误后生成网络表2.PCB绘图要求: (1)采用单面板制图,板框尺寸为长15cm、宽10cm。模拟电路除变压器、整流桥和滤波电容外,其余均和数字电路画在一张PCB图上,注意模拟地和数字地要分开,应留出稳压电路的输入接口。 (2)焊盘之间只允许走一根铜膜线。 (3)信号线、电源线及地线的最小铜膜线宽度为40mil。 (4)要求所有元件焊盘孔直径为20mil,外直径80mil。 (5)安全间距设置为20mil。 (6)采用人工布局的方式对元件进行布局,采用自动布线和手动布线相结合的方式布线。 2电路原理图 模拟电路——可调稳压电源电路 .. . . 可调稳压电源电路原理图元件表

补充:画原理图时J1、JP1、R1、C1、C2均在Miscellaneous Devices.lib 中查找,而U1、W1则在Simulation Symbols.lib 中查找。画PCB 时JP1的封装TS100V2X2在2.54mm Connectors.lib 中,其余均在pcbfootprints.lib 中。注意库里的LM317和电位器RPOT 的元件引脚和实际元件引脚不一致,应先将元件库里LM317和电位器RPOT 元件的引脚进行修改。 数字电路——计数器电路 TRIG 2 OUT 3 4 CVOLT 5 THOLD 6DISCHG 78 1 RESET VCC GND U2 NE555 +C3 10U C40.1U R247K R347K VCC GND CLK 14CLK/EN 13RESET 15 Q03Q12Q24Q37Q410Q51Q65Q76Q89Q911Cout 12 U3CD4017B a 1b 15c 14d 13e 12f 3g 2Qbo 11Qco 10 CPu 9 CPd 7R 5TE 4 LE 6 V C C 16 G N D 8 U5 CD40110 GND a 1 b 15 c 14 d 13 e 12 f 3 g 2Qbo 11Qco 10 CPu 9CPd 7R 5TE 4LE 6 V C C 16 G N D 8 U4 CD40110 VCC VCC GND GND a b f c g d e 76421910a b c d e f g 5 dp dp GND 3 GND 8 X1SM420501K a b f c g d e 76421910a b c d e f g 5 dp dp GND 3 GND 8 X2 SM420501K R5300 R6300 GND R4470 1 1 22 H1LED GND S1SW-SPST

数模混合电路设计难点分析

数模混合电路设计难点分析 数模混合电路设计当中,干扰源、干扰对象和干扰途径的辨别是分析数模混合设计干扰的基础。通常的电路中,模拟信号上由于存在随时间变化的连续变化的电压和电流有效成分,在设计和调试过程中,需要同时控制这两个变量,而且他们对于外部的干扰更敏感,因而通常作为被干扰对象做分析;数字信号上只有随时间变化的门限量化后的电压成分,相比模拟信号对干扰有较高的承受能力,但是这类信号变化快,特别是变化沿速度快,还有较高的高频谐波成分,对外释放能量,通常作为干扰源。 作为干扰源的数字电路部分多采用CMOS工艺,从而导致数字信号输入端极高的输入电阻,通常在几十k欧到上兆欧姆。这样高的内阻导致数字信号上的电流非常微弱,因而只有电压有效信号在起作用,在数模混合干扰分析中,这类信号可以作为电压型干扰源,如CLK信号,Reset等信号。除了快速交变的数字信号,数字信号的电源管脚上,由于引脚电感和互感引起的同步开关噪声(SSN),也是数模混合电路中存在的重要一类电压型干扰源。此外,电路中 还存在一些电流信号,特别是直流电源到器件负载之间的电源信号上有较大的电流,根据右手螺旋定理,电流信号周围会感应出磁场,进而引起变化的电场,在分析时,直流电源作为电流型干扰源。 无论电压型还是电流型的干扰源,在耦合到被干扰对象时,既可能通过电路传导耦合,也可能通过空间电磁场耦合,或者二者兼有。然而一般的仿真分析工具,往往由于功能所限,只能分析其中一种。例如在传统的SPICE电路仿真工具中,只考虑电路传导型的干扰,并不考虑空间电磁场的耦合;而一般的PCB信号完整性(SI)分析工具,只考察空间电磁场耦合,将所有的电源、地都看作理想DC直流,不予分析考虑。耦合路径提取的不完整,也是困扰数模混

数模混合仿真基本流程

数模混合仿真基本流程 使用三位计数器(数字电路)和三个缓冲器(模拟电路,接在计数器的输出端)为例。 打开终端,输入ic,启动icfb 本例新建一个自己的库,在中点击 库名这里取为smic18mixedsignal 点击OK 在弹出的对话框选择 点击OK 选择为 点击OK 先搭缓冲器(用两个反相器串联而成,没有调节栅宽,取了PMOS栅宽为440纳米,NMOS栅宽为220纳米) 如下图所示 生成原理图

如下图所示 再新建三位计数器 如下图所示填写内容为functional,选择为, 内容自己填。 点击OK 弹出编写代码的界面,是VI编辑器,VI编辑器使用手册见《vim编辑器使用手册》word 文档。 将事先准备好的三位计数器代码复制进去,如下图所示 需要注意的是代码里的module名称要与cell name一致。

在末行模式下输入wq回车 弹出 点击Yes 在处可以看到0错误0警告 下面建立三位计数器的测试电路 电路图如下图所示,时钟周期为10ns,保存,关闭。

在界面选中,library manager->file->new->cell view 点击OK 在弹出的对话框中点击 在弹出的对话框中如下图选择 点击OK 点击 如下图选择 点击OK 在内加入:functional 如下图所示

点击OK 点击,关闭对话框 双击View里的,如下图选择,点击OK 点击-> 点击-> 选择为,点击OK 这时中将出现,点击 选择点击 根据工艺条件与设计要求填写A->D、D->A相关信息,如信号上升、下降时间,模拟信号向数字信号转换的高低电平等。 这里如下图设置

数模混合仿真基本流程.

数/模混合仿真基本步骤 1、输入命令“ which verilog.vmx” ,参看仿真所需的“ verilog.vmx ”文件是否存在, “ which icfb” ,查看所需的系统文件是否存在; 2、在需要进行仿真的文件目录下启动 icfb ,将系统中模拟电路部分电路结构做成 symbol , 数字电路部分用 verilog 编写,做成 view 名称为“ functional ”的模块; 3、除了有 schematic view之外,增加 config view :library manager → file → new → cell view → 如图填写后,点击 ok 弹出对话框 点击 use template ,弹出对话框

在 name 选项中选择 spectreVerilog ,点击 ok ,关闭 new configuration 对话框,在new configuration 对话框中,将 view 名称改为 schematic ,如图

保存后关闭对话框; 4、开始仿真时关闭双击 config ,弹出对话框 一般按照默认值,只显示 schematic ,不显示 config ,点击 ok 5、在弹出的 schematic 对话框中, tool → analog environment→ set up→simulator/directory/host

,弹出对话框 将 simulator 改为如图, ok ; schematic 中将出现 mixed signal 选项,点击该选项, 下拉菜单中出现三个选项, 其中 display partition选项中可选择显示模拟信号线, 数字信号线、 或混合信号线;

相关主题