搜档网
当前位置:搜档网 › 电子技术课程设_数字时钟

电子技术课程设_数字时钟

电子技术课程设_数字时钟
电子技术课程设_数字时钟

主体电路

扩展电路

图1

由图1可知,电路的工作原理是:多功能数字钟电路由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。

振荡器产生的高脉冲信号作为数字钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误差时电路进行校时、校分、校秒。扩展电路必须在主体电路正常运行的情况下才能进行扩展功能。

3.2单元电路的设计

数字电子钟的设计方法很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。

在本次设计,电路是由许多单元电路组成的,因此首先必须对各个单元电路进行设计。

3.2.1 主体电路部分

主体电路部分的电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计。

时显示器 分显示器 秒显示器

时译码器 分译码器 秒译码器

时计数器 分计数器 秒计数器

校时电路 振荡器 分频器

整点报时

触摸报时

仿电台报

定时控制

3.2.1.1振荡电路

振荡电路由振荡器和分频器产生 1Hz时钟脉冲和扩展部分所需的频率,下面对振荡器和分频器两部分进行介绍。

(1)振荡器

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高。它利用某种反馈方式产生时钟信号。对数字电路来说,振荡器的输出的幅度范围为0v—5v的方波信号而不是锯齿波、三角波或其他形式。典型的振荡器是弛豫振荡器,它通过一个RC网络将反相器的输出反馈回来并存在一定的工作延迟时间。基本的电路如图2所示。

12

A

740412

A

7404

R2

R1

C 图2

在上述电路中,RI-C网络由第一个反相器驱动,具有RC特性曲线的响应信号被反馈给反相器的输入。当电容上的电压达到施密特触发器输入反相器的门限电压的时候,反相器的状态发生改变,并输出一个新的电压值。这个输出电压经过一定的延迟时间再次通过RI—C反馈回来,直到电容电压再次达到门限电压为止。

用施密特触发器输入器件(如74HC04),但是由于电容的参考电压在每个临界点都要发生变化,所以施密特触发器不是必需的。由于电容与输出相连,每次状态改变时,电容的充电电压会超过5V。从这一点来说,输出电压会改变电容的充电电压,直到电容两端的电压变为74HC04的门限电压(2.5V)为止。振荡器输出状态的改变发生在电容上的电压达到2.5V时。

弛豫振荡器对许多低成本而精度要求又不高的场所非常适合,但是并不推荐在任何有精度要求的实际应用电路采用它。

如果想要获得高的精度,就应该在振荡电路中使用石英晶体作振源。在数字钟的设计与制作中应采用石英晶体振荡器,因为石英晶体具有压电效应,是一个压电器件。当交流电压加在晶体两端,晶体先随电压变化产生对应的变化,然后机械振动又使晶体表面产生交变电荷。当晶体几何尺寸和结构一定时,它本生有一个固定的机械频率。当外加交流电压的频率等于晶体的固有频率时,晶体片的机械振动最大,晶体表面电荷量最多,外电路的交流电流最强,于是产生振荡,因此将石英晶体按一定方位切割成片,两边傅以电极,焊上引线,再用金属或玻璃外壳封装即构成石英晶体。石英晶体的固有频率十分稳定。另外石英晶体的振动具有多谐性,除了基频振动外,还有奇次谐次泛音振动,对于石英晶体,既可利用基频振动,也可利用泛音振动。前者称为基频晶体,后者称为泛音晶体,晶片厚度与振动频率成反比,工作频率越高,要求晶片厚度越薄。将石英晶体作为高Q 值谐振回路元件接入反馈电路中,就组成了晶体振荡器。在设计中所用的振荡器的电路图如图3所示。该电路能产生1MHz 的方波脉冲振荡信号。

1

2

A 7404

1

2

A 7404

1

2

A 7404

1K

0.01uF 5-25pF

1MHZ

图3

(2)分频器

分频器的作用是将由石英晶体产生的高频信号分频成基时钟脉冲信号和扩展部分所需的频率。在此电路中,分频器的功能主要有两个:一是产生标准脉冲信号;二是功能扩展电路所需的信号,如仿电台用的1KHz 的高频信号和500Hz 的低频信号等.在此电路中作为分频器的元件

是:CD4518。

CD4518可以组成二分频电路和十分频电路。用CD4518组成二分频的电路如图4;用CD4518组成十分频的电路如图5;在本次设计中所用的分频器的电路图如图6。电路经过十分频后将晶振来的1MHz 的振荡脉冲变为1Hz 的脉冲信号,该信号作为计数器的计数脉冲使用。

输入

输 出 输入 输入 输 出

清零

图4 图5

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6

A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25

Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q36A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

100KHZ

10KHZ

1KHZ

100HZ

10HZ

1HZ

1MHZ

图6

4

Q 1Q Cr CP EN 4Q Cr CP

上表:CD4518的功能表

振荡器和分频器两部分构成振荡电路,它的电路图如图7所示。

根据图7可知电路的工作原理是:石英晶体振荡器提供的频率为1MHz ,CD4518组成十分频电路。并且一个 CD4518可以组成两个十分频电路即:CD4518的引脚2与引脚6组成一个十分频电路而引脚10与引脚14组成另一个十分频电路。晶振的输出接入第一块CD4518的输入引脚2,经过一次十分频,频率变为100KHz 。输出引脚6接入同一块CD4518的引脚10经第二次分频,频率变为10KHz 。输出引脚接人第二块CD4518的输入引脚2再经一次分频,频率变为1KHz 。这样经过六次分频最后可以得到1Hz 的频率。

输入 输出 CK CR EN 上升沿

L H 加计数 L L 上升沿 加计数

下降沿 L X 保

持 X L 上升沿 上升沿 L L H L 下降沿 X L

X

全为L

1

2

A 7404

1

2

A 7404

1

2

A 7404

1K

0.01uF

5-25pF

1MHZ CK 1E N 2CL R 7

Q03Q14Q25Q3

6

A 4518

CK 1E N 2CL R 7

Q03Q14Q25Q3

6

A 4518

CK 1E N 2CL R 7

Q03Q14Q25Q3

6A 4518

CK 1E N 2CL R 7

Q03Q14Q25Q3

6

A 4518

CK 1E N 2CL R 7

Q03Q14Q25Q3

6A 4518

CK 1E N 2CL R 7

Q03Q14Q25Q3

6

A 4518

100KHZ

10KHZ

1KHZ

100HZ

10HZ

1HZ

图7

3.2.1.2 计数电路

计数器是一种计算输入脉冲的时序逻辑网络,被计数的输入信号就是时序网络的时钟脉冲,它不仅可以计数而且还可以用来完成其他特定的逻辑功能,如测量、定时控制、数字运算等等。

数字钟的计数电路是用两个六十进制计数电路和“12翻1”计数电路实现的。数字钟的计数电路的设计可以用反馈清零法。当计数器正常计数时,反馈门不起作用,只有当进位脉冲到来时,反馈信号将计数电路清零,实现相应模的循环计数。以六十进制为例,当计数器从00,01,02,……,59计数时,反馈门不起作用,只有当第60个秒脉冲到来时,反馈信号随即将计数电路清零,实现模为60的循环计数。

下面将分别介绍60进制计数器和“12翻1”小时计数器。 (一)60进制计数器 电路如图8所示

R 0(1)6R 0(2)

7

C K A 14

Q A 12C K B

1

Q B 11

Q C 9

Q D

8

74L S 92_2

R 0(1)2R 0(2)3R 9(1)6R 9(2)

7

C K A 14

Q A 12

C K B

1

Q B 9Q C 8Q D

11

74L S 90_5

GND

GND +5V

+5V

图8

电路中,74LS92作为十位计数器,在电路中采用六进制计数;74LS90作为个位计数器在电路中采用十进制计数。当74LS90的14脚接振荡电路的输出脉冲1Hz 时74LS90开始工作,它计时到10时向十位计数器74LS92进位。下面对电路中所用的主要元件及功能介绍。 ① 十进制计数器 74LS90

74LS90是二—五—十进制计数器,它有两个时钟输入端CKA 和CKB 。其中,CKA 和0Q 组成一位二进制计数器;CKB 和321Q Q Q 组成五进制计数器;若将0Q 与CKB 相连接,时钟脉冲从A CP 输入,则构成了8421BCD 码十进制计数器。74LS90有两个清零端R0(1)、R0(2),两个置9端R9(1)和R9(2),其BCD 码十进制计数时序如表1,二—五混合进制计数时序如表2,74LS90的管脚图如图9。

图9

表1 BCD 码十进制计数时序 表2 二—五混合进制计数时序

R0(1) 2

R0(2)

3 R9(1) 6 R9(2) 7 CKA 1

4 QA 12 CKB

1

QB

9 QC

8 QD 11

74LS90

② 异步计数器74LS92

所谓异步计数器是指计数器内各触发器的时钟信号不是来自于同一外接输入时钟信号,因而触发器不是同时翻转。这种计数器的计数速度慢。一异步计数器 74LS92是 二—六—十二进制计数器,即CKA 和0Q 组成二进制计数器,CKB 和321Q Q Q 在74LS92中为六进制计数器。当CKB 和

0Q 相连,时钟脉冲从CKA 输入,74LS92构成十六进制计数器。74LS92

的管脚图如图10。

图10

(二) “12翻1”小时计数器电路 (1) 电路如图11 所 示

CK D Q C Q B Q A Q

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9

1

1

CK A Q B Q C Q D Q

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 1 0 0 0 6 1 0 0 1 7 1 0 1 0 8

1

1

1

9

1

1

R0(1) 6

R0(2) 7 CKA 14 QA 12 CKB

1

QB

11 QC

9 QD 8

74LS92

图11

“12翻1”小时计数器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”规律计数的,计数器的计数状态转换表如表3所示。

表3“12翻1”小时计时时序

十位个位十位个位CK Q10 Q03 Q02 Q01 Q00 CK Q10 Q03 Q02 Q01 Q00

0 1 2 3 4 5 6 7 0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

8

9

10

11

12

13

1

1

1

1 0 0 0

1 0 0 1

1 0 1 0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 0 1

CLK

3

D

2

SD

4

CD1

Q

5

Q

6

74LS74A

P

15

P

1

1

P

2

10

P

3

9

Q

3

Q

1

2

Q

2

6

Q

3

7

R

C

1

3

T

C

1

2

C

L

K

14

C

E

4

U

/

D

5

P

L

11

74LS

4

5

6

U9B74LS00

12

3

U9A

74LS00

11

1213

U10D74LS00

GND

R1

3.3K

+5V

8

9

U8D

74LS04 +5v

CP

(二)电路的工作原理

由表可知:个位计数器由4位二进制同步可逆计数器 74LS191构成,十位计数器由双D 触发器74LS74构成 ,将它们组成 “12翻1”小时计数器。

由表可知:计数器的状态要发生 两次跳跃:一是:计数器计到9,即个位计数器的状态为03020100Q Q Q Q =1001后,在下一计数脉冲的作用下计数器进入暂态1010,利用暂态的两个1即0301Q Q 使个位异步置0,同时向十位计数器进位使10Q =1;二是计数到12后,在第13个计数脉冲作用下个位计数器的状态应为03020100Q Q Q Q =0001,十位计数器的10Q =0。第二次跳跃的十位清“0”和个位置“1”的输出端10Q 、01Q 、00Q 来产生。对电路中所用的主要元件及功能介绍。 ① D 触发器74LS74

在电路中用到了D 触发器74LS74,74LS74的管脚图如图12。

图12

下面将介绍一些有关触发器的内容:

触发器,它是由门电路构成的逻辑电路,它的输出具有两个稳定的物理状态(高电平和低电平),所以它能记忆一位二进制代码。触发器是存放在二进制信息的最基本的单元。按其功能可为基本RS 触发器触、JK 触发器、D 触发器和T 触发器。

这几种触发器都有集成电路产品。其中应用最广泛的当数JK 触发器和D 触发器。不过,深刻理解RS 触发器对全面掌握触发器的工作方式或动作特点是至关重要的。事实上,JK 触发器和D 触发器是RS 触发器的

D 2 Q 5

Q

6

CLK

3

4

1

PRE

CLR A

74LS74

改进型,其中JK 触发器保留了两个数据输入端,而D 触发器只保留了一个数据输入端。D 触发器有边沿D 触发器和高电平D 触发器。74LS74为一个电平D 触发器。 ② 计数器74LS191

74LS191的管脚图如图13

图13

3.2.1.3 校时电路

(一)电路如图14 所示

图14

(二)电路的工作原理

8

9

10

U 10C

74L S00 1

2

3 U 11A

74L S00 11 12

13

U 10D

74L S00

R 3 3.3k

C 1 0.01u F

S1 G N D

10

11

U 8E

74L S04 1H Z

S2/M 2 Q 2

+5V

CTEN 4 D/U 5 CLK 14 LD 11 MAX/MIN 12 RCO 13 A 15 QA

3 B 1 QB

2 C 10 QC

6 D

9

QD 7

74LS191

校时电路的作用是:当数字钟接通电源或者出现误差时,校正时间。校时是数字钟应具有的基本功能。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。校时有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz 校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。图中S1校分用的控制开关,S2(总图)为校时用的控制开关,它们的控制功能如表4所示,校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时可以进行“快校时”。如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。表4校时开关的功能

S1 S2 功能

1 1 计数

1 0 校分

0 0 校时

表4

(三)对电路中所用的主要元件及功能介绍

在此电路中,用到的元器件有两块四2输入与非门74LS00 、一块六反相器74 LS04、两个电容、两个电阻以及两个开关。

(1)四-2输入与非门74LS00

集成逻辑门是数字电路中应用十分广泛最基本的一种器件,为了合理的使用和充分利用其性能,必须对它的主要参数和逻辑功能进行测试。74LS00与非门的主要参数为:

输出高电平:指与非门有一个以上输入端接地或接低电平时的输出电平值。

输出低电平:指与非门的所有输入端均接高电平时的输出电平值。

开门电平:指与非门输出处于额定低电平时允许输入高电平的最小值。

关门电平:指与非门输出处于高电平状态时允许输入低电平的最大值。

电压传输特性:是指门的输出电压随输入电压而变化的曲线,由它可以得到门电路的输出高电平、输出低电平、关门电平和开门电平等。

低电平的输出电源电流;是指输入所有端都悬空,输出端空载时,电源提供器件的电流。

高电平输出电源电流:是指输出端空载,每个门各有一个以上的输入端接地,电源提供给器件的电流。

低电平输入电流:是指被测输入端接地,其余输入端悬空时,由被测输入端流出的电流值。

高电平输入电流:指被测输入端接高电平,其余输入端接地,流入被测输入端的电流值。

扇出系数:门电路能驱动同类门的个数,它是衡量门电路负载能力的一个参数,TTL 与非门有两种不同性质的负载,即灌电流负载和拉电流负载,因此有两种扇出系数。即低电平扇出系数和高电平扇出系数。

3.2.1.4 译码与显示电路

(一)电路如图15所示

图15

(二)电路的工作原理

译码是编码的相反过程,译码器是将输入的二进制代码翻译成相应的输出信号以表示编码时所赋予原意的电路。常用的集成译码器有二进

BI/RBO 4 RBI 5 LT 3 A 7 B 1 C 2 D 6

a

13 b 12 c 11 d 10 e 9 f 15 g 14 74LS48

a b

f c

g

d e DPY

[LEDgn]

1 2 3 4 5 6 7

a b

c d e f

g DPY_7-SEG

制译码器、二—十制译码器和BCD —7段译码器、显示模块用来显示计时模块输出的结果。

(三)对电路中的主要元件及功能介绍 (1)译码器74LS48

译码器是一个多输入、多输出的组合逻辑电路。它的工作是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数字分配,存储器寻址和组合控制信号等。译码器可以分为通用译码器和显示译码器两大类。在电路中用的译码器是共阴极译码器74LS48,用74LS48把输入的8421BCD 码ABCD 译成七段输出a-g ,再由七段数码管显示相应的数。 74LS48的管脚图如图16。在管脚图中,管脚LT 、RBI 、BI/RBO 都是低电平是起作用,作用分别为:

LT 为灯测检查,用LT 可检查七段显示器个字段是否能正常被点燃。 BI 是灭灯输入,可以使显示灯熄灭。

RBI 是灭零输入,可以按照需要将显示的零予以熄灭。BI/RBO 是共用输出端,RBO 称为灭零输出端,可以配合灭零输出端RBI ,在多位十进制数表示时,把多余零位熄灭掉,以提高视图的清晰度。也可用共阴译码器74LS248,CD4511。

图16

(2)显示器SM421050N

在此电路图中所用的显示器是共阴极形式,阴极必须接地。SM421050N 的管脚功能图如图17

BI/RBO 4 RBI 5

LT 3 A 7 B 1 C 2 D

6

a 13

b 12

c 11

d 10

e 9

f 15 g

14

74L S 48

图17

主体电路部分是由上面的以上的各个单元电路组成的。

3.2.2扩展功功能电路的设计 3.2.2.1定时控制电路

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管是闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。 (一)设计电路如图18所示

1245

6

UZ1A

74LS201312109

8

UZ1B

74LS20

45

6

UZ4B

74LS0312

3

UZ4A

74LS03

1

2

3

UZ9A

74LS00

4

56

UZ9B

74LS00

RZ41K

RZ3

22

LS1SPEAKER

Q1

3DG130

+5V

SZ3SW

+5V

1K H Z

RL 3.3K

+5V

图18

(二)电路的工作原理

在这里将举例来说明它的工作原理。要求上午7时59分发出闹时信

a b

f c

g

d

e DPY [LEDgn]

1 2 3 4 5 6 7

a

b

c d e f

g DPY_7-SEG

号,持续1分钟。设计如下:

7时59分对应数字钟的时时个位计数器的状态为32101()0111H Q Q Q Q =,分十位计数器的状态为32102()0101M Q Q Q Q =,分个位计数器的状态为

32101()1001M Q Q Q Q =,若将上述计数器输出为“1”的所有输出端经过与门

电路去控制音响电路,就可以使音响电路正好在7点59分响,持续1分钟后(即8点)停响。所以闹时控制信号Z 的表达式为

011S Q =2101202301()()()H M M Z Q Q Q Q Q Q Q M ?=?? 式中,M 为上午的信号输出,要求M=1。

如果用与非门实现的逻辑表达式为:

210122301()(0)()H M M Z Q Q Q M Q Q Q Q =???

在该电路图中用到了4输入二与非门74LS20,集电极开路的2输入四与非门74LS03,因OC 门的输出端可以进行“线与”,使用时在它们的输出端与电源+5V 端之间应接一电阻RL 。RL 的值由下式决定:

min max CC OH L OH IH V V R nI mI -=

+ max

min CC OL L OL IL

V V R I mI -=-

max OL V =0.4V,IL I =0.4mA,min OL V =2.4V,IH I =50uA,OL I =8mA,OH I =100Ua;m 为负

载门输入端总个数。

取RL=3.3K Ω。如果控制1KHz 高音和驱动音响电路的两极与非门也采用OC 门,则RL 的值应该重新计算。

由电路图可以看见,上午7点59分,音响电路的晶体管导通,则扬声器发出1KHz 的声音。持续1分钟到8点整晶体管因为输入端为“0”而截止,电路停闹。

(三)对电路中所用的主要元件及功能介绍 在电路中所用到的元件有74LS03,74LS20等。

(1)四2输入与非门74LS03,只要输入变量有一个为0则输出为1,只有输入全为1,输出才为0.

74LS03的管脚图如图19

图19

(2)二4输入与非门74LS20,四个输入端有一个为0,则输出为1,只有全部输入为1,输出才为0.

74LS20的管脚图如图20所示。

图20

4.调试

在本设计中,为了设计的顺利进行,我在实验箱上进行了部分调试,因为电路太复杂,在实验箱上不可能整体电路进行调试。调试后,我就自己焊接了一个试验板进行调试。以确保最后能很好的完成其各部分功能。调试后,我就画PCB 图,用来制印制板。因为PCB 图先画,后经过反复考虑振荡电路部分改进了,最后用的是1MHZ 的晶振经过三片CD4518六次分频就能得到1HZ 的频率。所以在印制板外加了一个振荡部分电路。

4.1主体电路部分 振荡电路部分

我先用的是32768HZ 的晶振和反向器74LS00接两个电阻和两个电容组成的振荡电路,产生32768HZ 的方波信号,经过15级二分频后得到1HZ 的基准脉冲。扩展部分所需的频率可以从5级二分频得到1024HZ 六级二分频得到512HZ 但是这样用的集成块较多,时间延迟较长。用555

1 2

3

&

74LS03

1 2 4 5

6

&

A

74LS20

A

产生多谐振荡方波也可,就是精确度和稳定度不高。后来我就用的1MHZ 的晶振产生1MHZ的频率经过74LS90组成的二-五-十的分频器,可很好的扩展部分所需的频率。只是要用六块74LS90,后来我查了手册,发现4518有两片十进制分频器,功能与74LS90又基本上相同,这样就可少用集成块,减少时间延时。

在现用电路调试中,晶振的输出频率为1MHz,用三片CD4518组成了六级十分频电路,在调试中我对每级分路进行了测试。在第一级分频后出现的脉冲信号为100KHz,经过第二级得到了10KHz的标准脉冲,这样一级级的分频,经过六次分频后得到了标准的1Hz脉冲信号。

计数电路部分

(1)小时计数部分

这部分电路较复杂,在第一次焊接完成后的调试显示中,发现小时的十位没有变化,经过分析、检查发现74LS74的3脚没有接上。

(2)秒计数电路部分

这部分的调试中顺利得到了结果即:秒计数器的个位能准确以十进制形式计数;秒计数器的十位也能准确以六进制的形式计数。当秒计数器的个位计数到9后自动向秒计数器的十位计数。

(3)分计数电路部分

这部分的调试电路与秒计数器的电路一样,在调试中不同的是秒计数电路的个位计数器74LS90的14脚接入振荡电路部分的输出端,而分计数电路的个位计数器74LS90的14脚本该接校时电路,但是由于校时电路作为最后调试的电路,所以在调试中74LS90的14脚与单次脉冲连接。

调试的结果是:这部分的结果与秒计数电路部分的结果一样。

校时电路部分

在整个电路的设计中,需要用到两个校时电路,两个校时电路的功能相同,它们不同的是在电路的设计时,校分电路比校时电路少一个反相器,这是因为74LS191为高电平有效而74LS90为低电平有效。

调试的结果是:当开关断开时,分计数电路,小时计数电路正常计数,当开关闭合时,校时电路进行校时。只是有时松开按键时,较时数

会有点误变化,经过仔细分析,确定是由于在松按键时产生了抖动,如果接上R-S触发器就能够消抖。

4.2 扩展电路部分

扩展部分的调试是在主体部分正确的情况下,才能完成的。有些也可模拟调试。

1定时控制:

扩展部分的调试是在主体部分正确的情况下,才能完成的。单独在实验箱上可以调试其电路的输入就用模拟开关输入高低电平。只要在输入的变化下能够控制风鸣器工作就行。因为这部分的电路比较简单、原理也不难。所以这部分调试很快,一切很顺利。

有了以上主要在DA软件虚拟平台上实现,,主体电路的功能接上电源后就能实现:能显示时、分、秒的时间;小时的计数为“12翻1”,分和秒的计时为60进位;扩展部分:定时控制;能够校时、分。

5.总结

通过本次毕业设计,我明白了一个道理:无论做什么事情,都必需养成严谨,认真,善思的工作作风.我这毕业设计由于我采用的是数字电路来实现的,所以电路较复杂,但是容易理解.每一部分我都能理解并且能有多种设计方法.

通过这次设计,我还掌握了制PCB的一系列步骤,在几个月时间里,我把本设计的整个电路图画好了,并且画好了PCB板图.

通过这次毕业设计,我又掌握了些元器件的用途以及它们的参数、性能。这次设计提高了我理论和实践相结合的能力,增加了把理论用于实践的兴趣,同时也提高了我分析问题和解决问题的能力。没有最好,只有更好。我相信通过这一次的毕业设计之后,我以后会更加努力,用严谨的科学态度去面对一切。克服困难,战胜自我,超越自我。

致谢

毕业设计完成了,在这个过程中我学到了很多东西。首先我要感谢我的指导老师吴瑞,她在我完成论文的过程中,给予了我很大的帮助。在论文开始的初期,我对于论文的结构以及文献选取等方面都有很多问题,整体构思不是很明确,段落层次也不是很清晰,老师详细给我分析论文的写作过程,从论文的题目,论文的内容,论文的脉络,都给我详细的指导。在我论文的进展过程中,老师也及时给我解决疑惑,并且监督我论文的进展过程,非常感谢!但是惭愧的是,论文也时有偏差出现,经过了曲折的过程,老师也耐心的给我激励,非常感谢!

我想,毕业论文的过程不仅仅是一个完成一篇论文的过程,而是一个端正态度的过程,是总结大学三年的一个过程,是在踏入社会前的历练过程。这个过程将使我受益匪浅!

数字电子钟课程设计

摘要 在生活中的各种场合经常要用到电子钟,现代电子技术的飞跃发展,各类智能化产品相应而出,数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、整体清零等附加功能。干电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。计数器用的是74LS90。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED 七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。整体清零电路是根据74LS90计数器在2,3脚均为1时清零的特点用电源,开关和逻辑门组成的清零电路对“时”、“分”、“秒”显示数字清零。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词分频计数译码报时清零校时校分触发逻辑

目录 引言 1 设计目的............................................................ . (5) 2 设计任务 (5) 2.1设计指标 (5) 2.2设计要求 (5) 2.3方案的对比 (6) 3数字电子钟的组成 (6) 3.1数字钟的基本逻辑功能框图 (6) 3.2秒信号发生器(振荡器及分频电路) (7) 3.3时、分、秒计数器电路 (8) 3.4译码显示电路 (8) 3.4校时电路 (8) 3.6正点报时电路 (8) 3.7清零电路 (8) 4.数字钟的电路设计 (8) 4.1 秒信号发生器的设计 (8) 4.2计数电路的设计 (10) 4.2.1六十进制计数器 (10) 4.2.2 二十四进制计数器 (11) 4.2.3计数器的组间级联问题 (12) 4.3译码显示电路 (13) 4.4校时电路的设计 (13) 4.5正点报时电路的设计 (13) 4.6清零电路的设计 (15) 4.7数字电子钟的整体电路 (15) 4.7设计、调试要点 (15) 5元器件 (16) 5.1实验元器件清单 (16)

微机原理课程设计数字时钟程序

河北科技大学 课程设计报告 学生姓名:学号: 专业班级: 电子信息科学与工程 课程名称:微型计算机原理及应用 学年学期: 2 01 1 —2012 学年第1 学期 指导教师: 20 0 1 1年 1 2月 课程设计成绩评定表

目录 一、课设题目及目的………………………………….4 二、设计任务………………………………………….4 三、总框图及设计流程 (4) 四、?源程序清单 (6) 五、?调试结果及显示 (19) 六、?个人贡献………………………………………….19 七、课程设计总结及体会 (21) 一、课设题目及目的 实习题目:数字时钟程序 实习目的:通过实习,使我们进一步弄懂所学到的课本知识,巩固和深化对8086系统的指令系统、中断系统、键盘/显示系统、程序设计、应用开发等基本理论知识的理解,提高汇编语言应用于技术的实践操作技能,掌握汇编语言应用系统设计、研制的方法,培养利用科技革新、开发和创新的基本能力,为毕业后从事与其相关的工作打下一定的基础。

二、课设任务 本课题为利用汇编语言设置时钟程序,其显示效果为:截取系统时间,能以时、分、秒(其中时为24小时制)的形式显示,并且通过合理的操作能修改时和分的内容来修改时间。再有,可以给它设定一个ALARM时间,到这个时间它就能产生信号,起到定时作用,。除此之外还能显示日期,日期分为年、月、日,其显示方式为xxxx年xx 月xx日。 ' *

DB '***********PRESS ESCBUTTON TO EXIT**************',0AH,0DH,'$' TN DB'PLEASE INPUT THE NEW TIME(HH:MM:SS):',0DH,0AH,'$' TMDB'PLEASE INPUT THE ALARM TIME (HH:MM:SS):',0DH,0AH,'$' MUSICMESS DB'PLEASE CHOOSE THE TYPE OF MUSIC:1(FAST) 2(MIDDLE) 3(SLOW)',0DH,0AH,'$' MESS2DB'TIME IS:',0AH,0DH,'$' MESS3DB 'TODAY IS:',0AH,0DH,'$' DBUFFER1DB20DUP('') T_BUFFD B 40 ;在数据段开一段时间显示缓冲区 DB ? DB 40DUP(?) HOR DB? MIN DB? SEC DB? TEMPHOR DB ? TEMPMIN DB? TEMPSEC DB? MUSIC DW 800;存放音乐的频率数DATA ENDS STACK SEGMENT DB 100 DUP(?) STACK ENDS CODESEGMENT ASSUME CS:CODE,SS:STACK,DS:DATA START: CALL CLEAR ;调用清屏子程序 DISPLAY:;时间显示部分 MOV AX,DATA MOVDS,AX MOVBX,OFFSETT_BUFF;送T_BUFF的偏移地址到BX MOV AH,2CH;调用DOS时间调用功能,功能号:2CH,小时,分钟,秒数分别保存在CH,CL,DH中 INT 21H ;判断时间是否相等SUB DH,1;秒数+1修正 CALL CHECK ;.........................................................................

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字时钟课程设计方案设计方案

课程设计题目名称:数字时钟 专业名称:电气工程及其自动化班级: ******** 学号: *******8 学生姓名: ******* 任课教师: *******

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献:⑴《电子技术课程设计指导》彭介华编,高等教育出版社,1997年10月 ⑵《数字电子技术》康华光编著高等教育出版社, 2001年 要求按国标GB 7714—87《文后参考文献著录规则》书写。 4.课程设计工作进度计划: 序号起迄日期工作内容 初步设想和资料查询,原理图的绘画 1 2015.11.18-2015.12.21 仿真调试,元件参数测定,实物的拼接与测试 2 2015.12.21-2016.1.8 叙写设计报告,总结本次设计,论文提交 3 2016.1.8-2016.1.18 主指导教师日期:年月日

摘要 数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。并且数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。在实装时,采用了74LS90进行计数,用CD4060产生秒脉冲,CD4511进行数码管转换显示,还要考虑电路的校时、校分,每块芯片各设计为几进制等等,最后实现了数字钟设计所要求的各项功能:时钟显示功能;快速校准时间的功能。 关键字:数字时钟校时CD4511

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

微机原理课程设计报告-数字时钟的实现(附代码)

合肥工业大学 计算机与信息学院 课程设计 课程:微机原理与接口技术设计专业班级:计算机科学与技术x班学号: 姓名:

一、设计题目及要求: 【课题6】数字时钟 1.通过8253 定时器作产生秒脉冲定时中断。在中断服务程序中实现秒、分、小时的进位(24小时制)。 2.在七段数码管上显示当前的时分秒(例如,12 点10 分40 秒显示为121040)。 3.按“C”可设置时钟的时间当前值(对准时间)。 二、设计思想: 总体思想: 1、功能概述: 实验箱连线: 本实验建立在Dais实验箱基础上完成的基本连线及程序如下: 138译码器: A,B,C,D,分别连接A2,A3,A4,GS; y0连接8253的CS片选信号; y1连接8259的CS片选信号; 8253连线: 分频信号T2接8253的CLK0; 8253的OUT0接8259的IR7; 8253的gate信号接+5V; 8259连线: 8259的数据线接入数据总线;

本程序包括显示模块,键盘扫描模块,时间计数模块,设置模块等几个模块, (1)程序运行后,LED显示000000初始值,并且开始计数 (2)按C键进行设置初始时间,考虑到第一个数只能是0,1,2,当第一个数显示2时第二个数只能显示0~4,同理下面各位应满足时钟数值的合理的取值; (3)在手动输入初始值时,按D键进行回退1位修改已设置值,连续按D键可以全部进行删除修改。 2、主程序设计 主程序中完成通过调用子程序完成对8253及8259的初始化,对8259进行中断设置。主要在显示子程序和键盘子处理程序之间不断循环,8253每一秒给8259一个刺激,当8259接受到刺激后会给CPU一个中断请求,CPU会转去执行中断子程序,而中断子程序设置成时间计数加,即完成电子表的整体设计。详细流程图见图三-1。 3、LED显示子程序设计 本程序显示部分用了6个共阳极LED作为显示管,显示程序要做到每送一次段码就送一次位码,每送一次位码后,将位码中的0右移1位作为下次的位码,从而可以实现从左到右使6个LED依次显示出相应的数字。虽然CPU每隔一定时间便执行显示程序,但只要这个时间段不太长,由于人眼的视觉作用,就可以在6个LED上同时见到数字显示。 4、键盘扫描子程序设计 本程序需要用键盘对时间的初始值进行设置,因此对键盘扫描的子程序需要满足的功能如下: 判断是否是C键,若不是就返回至主程序,若是C键就开始对时间初始值进行设置,同时因注意到第一个值不可以超过2,第一个数是2时第二数不能超过4,余下的同理要满足时间数值的取值范围呢,若不是合法输入不予反应继续等待输入。当遇到输入数值错误时可以按下D键进行删除一位重新设置;当6位初始值全部设置成功后,电子表将自动开始走表。 5、时间运算子程序设计 该子程序的主要功能是对时、分、秒的运算,并把运算出的最终结果存到事先已经开辟

电子时钟课程设计55026

. 单片机课程设计题目:电子时钟 班级: 姓名: 学号: 指导教师: 设计时间:

.

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器 .

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准震荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,及定时时间,它通常有两种方法实现:一是软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要起不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。本文主要介绍用单片机内部的定时计数器来实现电子时钟的方法,以单片机为核心,辅以必要电路,构成了一个单片机电子时钟。 单片机应用系统由硬件系统和软件系统两部分组成。硬件系统是指单片机以及扩展的存储器、I\O接口、外围扩展的功能芯片以及接口电路。软件系统包括监控程序和各种应用程序。 在单片机应用系统中,单片机是整个系统的核心,对整个系统的信息输入、处理、信息输出进行控制。与单片机配套的有相应的复位电路、时钟电路以及扩展的存储器和I\O接口,使单片机应用系统能够运行。 在一个单片机应用系统中,往往都会输入信息和显示信息,这就涉及键盘和显示器。在单片机应用系统中,一般都根据系统的要求配置相应的键盘和显示器。配置键盘和显示器一般都没有统一的规定,有的系统功能复杂,需输入的信息和显示的信息量大,配置的键盘和显示器功能相对强大,而有些系统输入/输出的信息少,这时可能用几个按键和几个LED指示灯就可以进行处理了。在单片机应用系统在中配置的键盘可以是独立键盘,也可能是矩阵键盘。显示器可以是LED指示灯,也可以是LED数码管,也可 .

数字钟课程设计

数字逻辑电路课程设计 课题:数字钟 姓名:刘亮 班级:通信2班 学号:21 成绩: 指导教师:查根龙 开课时间: 2014-2015学年第2学期

摘要 (1) ABSTRACT (2) 第1章设计背景 (3) 1.1设计任务 (3) 1.2设计要求 (3) 1.3 设计目的 (3) 第2章课程设计方案 (4) 2.1 数字钟的基本组成和工作原理 (4) 2.2 振荡电路 (5) 2.3 分频电路 (6) 2.4时分秒计数电路 (7) 2.5 校时校分功能 (10) 2.6整点报时电路 (10) 2.7上下午显示电路 (11) 第三章课程总结 (12) 第四章参考文献 (13) 第五章附件 (14) 5.1 电路原理图 (14) 5.2 元器件清单 (14)

摘要 电子钟在现代社会已经使用的非常广泛,伴随着数字电路技术的发展,数字钟的出现,更加方便了大家的生活,同时也大大地促进了社会的进步。数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟就是由电子电路构成的计时器。是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、上下午显示等附加功能。主电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,上下午显示,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24和12小时的累计。计数器用的是74160。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词:计时器;计数;译码;报时;校时校分

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字电子课程设计数字钟

数字电路课程设计报告 目录 一、………设计课题 二、………设计任务 三、………设计要求 四、………分析及设计过程 五、………组装及调试过程 六、………参考文献(各芯片功能) 七、………设计心得及总结

一、设计课题 多功能数字钟电路设计. 二、设计任务 1给定的主要器件: 芯片数量芯片数量555 1 74ls191 1 74ls90 2 74ls74 1 74ls92 1 74ls00 2 74ls47 4 2实验原理图:

三、数字钟的功能要求 ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位可以用发光二极管指示,小时的十位亦可以用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。②扩展功能定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2、设计步骤与要求:①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。③测试数字钟系统的逻辑功能,使满足设计功能的要求。④画出数字钟系统的整机逻辑电路图。⑤写出课程设计实验报告。 四、设计分析于过程 本课题是数字电路中计数、分频、译码、显示及时钟振荡器等组合逻辑电路与时序逻辑电路的综合应用。通过学习,要求掌握多功能数字钟电路的设计方法、装调技术及数字钟的扩展应用。 1、数字钟的功能要求(1)基本功能:①准确计时,以数字形式显示时、分、秒的时间;②小时的计时要求为“12翻1”,分和秒的计时要求为60进位;③校正时间。(2)扩展功能①定时控制;②仿广播电台整点报时; ③报整点时数;④触摸报整点时数。 2、数字钟电路系统的组成框图

电子技术课程设计数字时钟

目录 目录 (1) 前言 (2) 内容摘要 (2) 设计要求 (2) 第一章方案设计 (3) 第二章硬件设计及仿真 (4) 2.1振荡器的设计 (4) 2.2分频器的设计 (6) 2.3时间计数器的设计 (7) 2.3.1六十进制计数器 (7) 2.3.2二十四进制计数器 (8) 2.4译码器与显示器的设计 (9) 2.5校时电路 (10) 第三章电路的总体设计 (11) 第四章元器件清单及部分芯片介绍 (12) 4.1元器件清单 (12) 4.2部分芯片功能介绍 (13) 4.2.1 74LS90N (13) 4.2.2 555 (14) 第五章总结 (16) 附录参考文献 (17)

前言 内容摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 设计要求 (1)、要求电路能够产生定时脉冲; (2)、要求电路能够根据对定时脉冲的计算得到时,分,秒;(3)、要求电路能够产生时,分,秒。

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

数字时钟课程设计

1 绪论 1.1 课题背景及目的 在日常生活和工作中,我们常常用到定时控制,如扩印过程中的曝光定时等。早期常用的一些时间控制单元都使用模拟电路设计制作的,其定时准确性和重复精度都不是很理想,现在基本上都是基于数字技术的新一代产品,随着数字集成电路性能价格比的不断提高,新一代产品的应用也越来越广泛,大可构成复杂的工业过程控制系统,完成复杂的控制功能。小则可以用于家电控制,甚至可以用于儿童电子玩具。它功能强大,体积小,质量轻,灵活好用,配以适当的接口芯片,可以构造各种各样、功能各异的微电子产品。 随着电子技术的飞速发展,家用电器和办公电子设备逐渐增多,不同的设备都有自己的控制器,使用起来很不方便。根据这种实际情况,设计了一个单片机多功能定时系统,它可以避免多种控制器的混淆,利用一个控制器对多路电器进行控制,同时又可以进行时钟校准和定点打铃。它可以执行不同的时间表(考试时间和日常作息时间)的打铃,可以任意设置时间。这种具有人们所需要的智能化特性的产品减轻了人的劳动,扩大了数字化的范围,为家庭数字化提供了可能。 1.2数字时钟的应用 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、使用也方便,但是人们对电子产品的应用要求越来越高,数字钟不但可以显示当前的时间,而且可以显示日期、农历、以及星期等,给人们的生活带来了方便。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选,使一款电子钟具备了多媒体的色彩。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。电子钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧院、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展

课程设计(数字日历钟表的设计)要点

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

数字时钟课程设计

数字电路课程设计 多 功 能 数 字 时 钟 专业班级:15电子1班 学号:201550110124 设计人:吕浩杰 指导教师:许春香 设计时间:2016年6月6日

内容摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于机械震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装臵。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED 数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法。

相关主题