搜档网
当前位置:搜档网 › EDA课程设计十字路口红绿灯模拟

EDA课程设计十字路口红绿灯模拟

EDA课程设计十字路口红绿灯模拟
EDA课程设计十字路口红绿灯模拟

课题名称:简易十字路口车流量模拟及红绿灯控制学生姓名:

班级:

学号:

指导教师:

项目功能:

1、自动模拟简易十字路口(只两个方向通行)的车流状况

2、根据当前的车流状况确定红绿灯的时常(可变)以最快的疏散

交通

3、增添交通管制功能:所有路口禁行,指示灯闪烁

4、通过数码管将每个路口的停车数量和交通灯的时常倒计时

显示出来;交通灯通过指示灯显示

5、特点:自动模拟一简易十字路口的交通状况,程序运行后即不

需再进行其他的控制,可以从数码管上看到当前的交通状况项目原理框图

1、本项目主要分为3个模块:十字路口停车数的统计、交通灯时

长及功能控制、数码管显示

2、原理框图控

停车数统计模块Car-wave

交通灯时长和功能

控制模块

lamp

数码管显示模块

SMG 1

十字路口的停车数

交通灯时长

控制信号

控制信号

各子模块的设计及验证

停车数统计模块Car-wave :

顶层图

其中Car-counter 模块由模4计数器和加法器counter100实现

模4计数器有元件定制方式实现(略) Counter100由VHDL 代码编写,其代码如下

library ieee; use ieee.std_l ogic_1164.all; use ieee.std_l ogic_unsigned.all;

entity counter100 is

port(clk:in std_l ogic; --时钟信号

sel:in std_l ogic_vector(1 d ownto 0); --加法/减法功能选择信号 dataIn:in std_l ogic_vector(1 d ownto 0); --加法/减法器输入 num11,num12:out std_l ogic_vector(3 d ownto 0));--计数器结果 end counter100;

architecture bhv of counter100 is

signal dn11,dn12:std_l ogic_vector(3 d ownto 0); signal din:std_l ogic_vector(3 d ownto 0);

begin

process(clk,dataIn)

begin

din<="00"&dataIn;

if rising_edge(clk) then

if sel="00" then --00时做加法

if(dn11<10-din) then dn11<=dn11+din; --判断是否有进位

elsif(dn11>10-din) then dn11<=dn11+din-10;dn12<=dn12+1;

end if;

elsif sel="01" then --01时做减法

i f((dn11+din<4)and dn12>0) then dn11<=dn11+6+din;dn12<=dn12-1;--判断是

否要借位

elsif(dn11>4) then dn11<=dn11-4+din;

else dn11<="0000";

end if;

end if;

end if;

num11<=dn11;

num12<=dn12;

end process;

end bhv;

Counter100说明:由sel信号决定执行加法还是减法功能,sel为00

时执行加法功能,sel为01时执行减法功能;sel信号由lamp(交通

灯)模块生成;该加法/减法器的输入为lpm_counter4的计数值

功能验证

1、波形仿真:Grid Size:100ns,End Time:10.0us

library ieee;

use ieee.std_l ogic_1164.all; use ieee.std_l ogic_unsigned.all;

entity lamp is

port( clk,enemy,en :in std_l ogic;-- enemy 是交通管制信号,en 使能信号

daIn11,daIn12:in std_logic_vector(3 d ownto 0);--南北路口的等待车辆数

daIn21,daIn22:in std_Logic_vector(3 d ownto 0);--东西路口的等待车辆数 flash :out std_l ogic; --交通管制信号

信号说明:clk1: Counter100的时钟信号 COUNT EVERY:100.0 ns

clk2:模4的时钟信号 COUNT EVERY:15.0 ns raod1,road2:两个路口的等待车辆数目 sel1,sel2 : Counter100功能选择信号 2、 下载验证:添加数码管显示电路后的下载验证

管脚分配 信号

管脚

clk1(Counter100的时钟信号) P122 clk2(

模4和七段译码器时钟) P128

sel1[1..0],sel2[1..0] P86,P87,P92,Mp95 eq0,eq1,eq3,eq4 (数码管片选信号) P96,P97,P99,P100

qa..qg(数码管段选信号)

P51,P49,P48,P47,P46,P44,P43 仿真结论:两个路口的车辆能正确的增加和减少,该模块设计正确

交通灯时长和功能控制模块lamp

该模块的主要功能是:根据输入的路口等待车辆数自动调整红绿灯时长;产生时长倒计时;自动的进行两个路口红-黄-绿灯之间的转换 该模块通过VHDL 语言设计实现,其代码如下

red1,green1,yellow1:out std_l ogic; --路口1交通灯信号

red2,green2,yellow2:out std_l ogic; --路口2交通灯信号

time1,time2 :out std_l ogic_vector(3 d ownto 0);--交通灯时长

sel1 :out std_l ogic_vector(1 d ownto 0);--南北路口控制信号

sel2 :out std_l ogic_vector(1 d ownto 0); --东西路口控制信号

);

end lamp;

architecture bhv of lamp is

signal t1,t2 :std_l ogic_vector(3 d ownto 0);--the l ength of time—交通灯时长信号signal lamp_rgy:std_l ogic_vector(6 d ownto 0);--交通指示灯

signal fuc :std_l ogic_vector(1 d ownto 0);--功能转换控制信号

signal load :std_l ogic; --交通灯时长装载信号

begin

--交通灯和车流量控制信号的产生

process(clk,en,enemy)

begin

if enemy='1' then lamp_rgy(5 d ownto 0)<="100100";lamp_rgy(6)<=clk;

elsif rising_edge(clk) then

if en='1' then

if fuc="00" then sel1<="01";sel2<="00";--green1 red2 南北通行时

lamp_rgy<="0001100";

elsif fuc="01" then sel1<="00";sel2<="00";--yell ow1 red2

lamp_rgy<="0010100";

elsif fuc="10" then sel1<="00";sel2<="01";--red1 green2 东西通行时

lamp_rgy<="0100001";

elsif fuc="11" then sel1<="00";sel2<="00";--red1 yellow2

lamp_rgy<="0100010";

end if;

end if;

end if;

end process;

-- 确定交通灯时长产生时长倒计时模块

process(clk,en,enemy,fuc,l oad)

begin

if (rising_edge(clk) and en='1') then

if l oad='0' then

if fuc="00" then t1<='0'&daIn11(3 d ownto 1)+5; t2<='0'&daIn12(3

d ownto 1); l oad<='1';

elsif fuc="01" then t1<="0101"; t2<="0000";

l oad<='1';

elsif fuc="10" then t1<='0'&daIn21(3 d ownto 1)+5; t2<='0'&daIn22(3

d ownto 1); l oad<='1';

elsif fuc="11" then t1<="0101"; t2<="0000";

l oad<='1';

end if;

else

if enemy='1' then t1<="0000";t2<="0000";

elsif enemy='0' then

if t1>0 then t1<=t1-1;

elsif t2>0 then t2<=t2-1;t1<="1001";

elsif (t1="0000" and t2="0000" and enemy='0' and en='1') then

l oad<='0';fuc<=fuc+1;

end if;

end if;

end if;

end if;

end process;

time1 <=t1;

time2 <=t2;

flash <=lamp_rgy(6);

red1 <=lamp_rgy(5);

yellow1 <=lamp_rgy(4);

green1 <=lamp_rgy(3);

red2 <=lamp_rgy(2);

yellow2 <=lamp_rgy(1);

green2 <=lamp_rgy(0);

end bhv;

交通灯时长的确定是根据当前排队车辆数目除以2再加5得到的功能验证

1、波形仿真;Grid Size:100.0ns End Time:20.0us

en=1,enemy=0,flash=0,sel1=01,sel2=00,lamp1=001,lamp2=100;time=17

状态:路口1绿灯,路口2红灯

en=1,enemy=0,flash=0,sel1=00,sel2=00,lamp1=010,lamp2=100;time=5

状态:路口1黄灯,路口2红灯

en=1,enemy=0,flash=0,sel1=00,sel2=00,lamp1=010,lamp2=001;time=17

状态:路口1黄灯,路口2绿灯

2、 下载验证:添加了数码管显示电路后的下载验证

管脚分配

信号

管脚

Clk1(lamp 时钟) P122 Clk2(数码管时钟) P125 En P95 Enemy

P92

red1,green1,yellow1 (路口1指示灯)

P23,P22,P21 red2,green2,yellow2 (路口2指示灯) P20,P19,P18 daIn11(daIn11)

P72,P73,P78,P79

信号

管脚

daIn12(daIn12)

P86,P87,P88,P89

Flash (交通管制指示灯) P9 sel1[1..0] P41,P39 Sel2[1..0]

P32,P31 eq0,eq1(显示时间) (数码管片选信号) P96,P97

qa..qg(数码管段选信号)

P51,P49,P48,P47,P46,P44,P43

下载主要验证了交通灯能否正确的执行各功能之间的转换以及交通灯时长是否会根据车辆书发生变化,经验证能正确的实现设计目的

数码管显示模块SMG1:显示路口车辆数及交通灯时长倒计时由模6计数器,3-6译码器,6-1多路选择器、7449构成

顶层图:

这部分在以前的实验中使用过多次,基本原理已十分清楚,在这里不在赘述

项目总的顶层图及功能验证

进行功能验证的时候主要进行了实验箱上的下载验证,其中管脚分配的情况在上图中已有显示;经验证能正确的实现设计目的,设计完成

操作手册:

显示状态说明:运行时数码管上的P8和P102显示交通灯时长变化;P96和P97显示路口1的停车数量的变化;P100和P101显示路口2的停车数量的变化;指示灯P23 P22 P21对应路口1的红黄绿灯得状态,P20 P19 P1对应路口2的红黄绿灯的状态,P9对应交通管制信号

操作说明:该程序的控制按键共有两个en和enemy,接在电平开关P95和P92上;各按键的状态和对应的功能如下

en enemy 执行功能显示状态

1 0 正常运转交通灯,车辆数,时长有规律的变化

1 1 紧急状况,

交通管制两个路口同时亮红灯,指示灯闪烁,停车数逐渐增加,时长显示为0

0 X 废弃状态

不工作交通灯灭,时长显示为0,车辆数显式为0

实验日志

在整个综合实验中遇到过很多问题,比如频率的选取、交通灯功能的自动转换等等;下面我分别介绍一下在每个模块中遇到的主要问题及解决方法,和做完整个设计后的总体感受

停车数统计模块Car-wave:在该模块出现的问题主要有两个

1、模4计数器和counter100的频率的选取:设计要求

counter100的频率要远低于模4,以此实现随机性;但在波形仿真的时候却发现选取不同的频率时会出现意外情况:counter100从模4计数器读取的值会大于4甚至更大,在数码管上无法正确显示;分析问题可能出在时钟信号不稳定上;经多次试验发现,当counter100的频率是模4的七次分频时效果最佳;因此单独设计了一个50%占空比的7次分频器(这在最后的综合设计时仍被淘汰掉),在最后的综合设计中二者选取的相同的时钟频率,实现了相对的随机性

2、进行加法或减法计数时的进位和借位的判断:这里主要是一开

始遗漏了一些情况,对判断条件设定有误;后结合波形图进行

了修改解决了这个问题

交通灯时长和功能控制模块lamp

1、红绿黄灯之间的自动切换:设计要求交通灯之间能自动的切换

以实现不同的功能,通过增加控制信号fuc(两位2进制)实

现,每当交通灯时长变到0的时候,fuc的值加1;fuc四个不

同的值刚好对应交通灯四种不同的状态:

fuc 路口1 路口2

00 绿灯红灯

01 黄灯红灯

10 红灯绿灯

11 红灯黄灯

2、红绿灯时长装载或是产生倒计时的确定:红绿灯时长有两个改

变发式:产生时长倒计时和切换到另一时长;在设计时一开始是

将这两部分分别写到两个进程中,后来报错误:不能再两个进

程中对同一信号的值进行更改;当把它们放到同一个进程中时

问题就是怎么确定不同情况下时间的改变方式,经过对程序的

仔细分析增加了一个中间信号load来控制:当load=0时进行

时长的切换,load=1时时长递减1;并且在时长切换后将load

值设为1这样在下一个时钟周期就能正确的实现时长递减1了;

在时长减为0时将load设为0再进行时长的切换,很好的实现

了这部分的设计

3、红绿灯时长的确定:黄灯时长固定的设为了5s;绿灯的时长则

根据路口的等待车辆数确定;经过多次测试发现将绿灯的时长

设为(路口等待车辆数目/2)+5能较好的疏散交通

在数码管显示模块和最后的综合设计中没出现什么大的问题

实验总结

1、整个项目的设计花费自己了很多时间,在这中间我学到了很多

东西,同时也复习了前面所学的计数器、加法器、分频器和数

码管显示电路等等;其中实验的大部分内容都是通过VHDL编写

的,加深了自己对VHDL语言的了解,比如其中进程语句process

的使用,中间信号的定义和使用方法等;同时通过本次实

验,也锻炼了自己独立设计程序的能力;在本次试验中我很重

视功能划分以及各模块的封装,将整个实验分成了三个子模块,这有利于程序的检测和修改;实验中由于自己对红绿灯模型不

是很熟悉,在设计绿灯的时间时是通过很多测试才得到合理的

结果,这个过程消耗了自己很多时间;

2、总体来看本次设计,只是十分简单的模拟了简化后的十字路口

车辆行驶场景:只能东西和南北两个方向通行,交通灯只有三

个状态(红、黄、绿);同时中间关于车辆数量的统计和红绿灯

时长计算都进行了很多简化,不一定能反映出真实的情况,整

个设计还有很大的修改空间。

交通规则红绿灯和标志常识.

依次给大家介绍一下红绿黄信号的代表意思: 绿灯信号绿灯信号是准许通行信号。按《交通安全法实施条例》规定:绿灯亮时,准许车辆、行人通行,但转弯的车辆不准妨碍被放行的直行车辆和行人通行。 红灯信号红灯信号是绝对禁止通行信号。红灯亮时,禁止车辆通行。右转弯车辆在不妨碍被放行的车辆和行人通行的情况下,可以通行。红灯信号是带有强制意义的禁行信号,遇此信号时,被禁行车辆须停在停止线以外,被禁行的行人须在人行道边等候放行;机动车等候放行时,不准熄火,不准开车门,各种车辆驾驶员不准离开车辆;自行车左转弯不准推车从路口外边绕行,直行不准用右转弯方法绕行。 黄灯信号黄灯亮时,已越过停止线的车辆,可以继续通行。黄灯信号的含义介于绿灯信号和红灯信号之间,既有不准通行的一面,又有准许通行的一面。黄灯亮时,警告驾驶人和行人通行时间已经结束,马上就要转换为红灯,应将车停在停止线后面,行人也不要进入人行横道。但车辆如因距离过近不便停车而越过停止线时,可以继续通行。已在人行横道内的行人要视来车情况,或尽快通过,或原地不动,或退回原处。 闪光警告信号灯为持续闪烁的黄灯,提示车辆、行人通行时注意瞭望,确认安全后通过。这种灯没有控制交通先行和让行的作用,有的悬于路口上空,有的在交通信号灯夜间停止使用后仅用其中的黄灯加上闪光,以提醒车辆、行人注意前方是交叉路口,要谨慎行

驶,认真观望,安全通过。在闪光警告信号灯闪烁的路口,车辆、行人通行时,即要遵守确保安全的原则,同时还应遵守没有交通信号或交通标志控制路口的通行规定。 方向指示信号灯方向信号灯是指挥机动车行驶方向的专用指示信号灯,通过不同的箭头指向,表示机动车直行、左转或者右转。它由红色、黄色、绿色箭头图案组成。 时常听到有驾驶询问红灯亮时,右转弯的车辆是否可以通行? 其实《中华人民共和国道路交通安全法》第二十六条:交通信号灯由红灯、绿灯、黄灯组成。红灯表示禁止通行,绿灯表示准许通行,黄灯表示警示。《中华人民共和国道路交通安全法实施条例》第三十八条对红、黄绿灯的含义进行了详解,机动车信号灯和非机动车信号灯表示:(一)绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行;(二)黄灯亮时,已越过停止线的车辆可以继续通行;(三)红灯亮时,禁止车辆通行。在未设置非机动车信号灯和人行黄道信号灯的路口,非机动车和行人应当按照机动车信号灯的表示通行。右转弯的车辆在不妨碍被放行的车辆、行人通行的情况下,可以通行。 不管十字路口还是丁字路口 右转:如果有专门的箭头灯,必须按灯指示。如果没有专门灯,只要不影响直行车辆或非机动车道行人,都能转。 直行:红灯停、绿灯行 左转:如果有专门的箭头灯,必须按灯指示。有左转待转区,直行绿灯,左转红灯时,驶入待转区,左转绿灯亮后可以继续走。

十字路口红绿灯控制系统讲解

课 程 设 计 2015 年 7 月 30 日 设计题目 学 号 专业班级 学生姓名指导教师 十字路口自动红绿灯指挥系统

目录 一、主要指标及要求 (1) 二、方案选择 (1) 三、工作原理分析 (1) 四、单元模块设计及分析 (2) 4.1时钟信号脉冲发生器设计 (2) 4.2定时器设计 (4) 4.3 延时电路设计 (5) 4.4状态转换电路设计 (6) 4.5置数组合逻辑设计 (7) 五、总电路图 (9) 六、设计心得 (9) 七、参考文献 (10)

十字路口自动红绿灯指挥系统 班级:指导老师: 学生: 学号: 一、主要指标及要求 1.自动完成绿-黄-红-绿-……工作循环; 2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环; 3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机; 4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。 二、方案选择 三、工作原理分析 本电路分为五个模块,即时钟信号脉冲发生器、定时器、延时电路、状态转换电路、置数组合逻辑电路。其中由555定时器组成的时钟信号脉冲发生器为由两片74LS192计数器组成的定时器电路提供1Hz的脉冲信号,使计时器能够正常计数。由三片双四选一数据选择器组成的置数组合逻辑电路分别为计数器置

19s、4s、14s和0s等不同的数。当计数归零时,计数器的溢出信号使双D触发器的状态发生跳转,同时控制着绿黄红灯的亮灭,使得绿黄红灯亮时,定时器分别置19s、4s、14s。延时电路起到延时作用,当计数器计数归零时,溢出信号通过延时电路先使触发器状态发生翻转,再加载LD信号,使计数器置一个新数。 四、单元模块设计及分析 4.1时钟信号脉冲发生器 时钟信号脉冲发生器选用555定时器主要用来产生秒脉冲信号。脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器是一种模拟和数字功能相结合的中规模集成器件。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 555定时器构成多谐振荡器,组成信号产生电路接通电源后,VCC通过电阻R1、R2给电容C充电,充电时间常数为(R1+R2),电容上的电压vC按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,触发器被复位,放电管T28导通,此时v0输出低电平,电容C开始通过R2放电,放电时间常数约为R2C,vC下降,当下降到VREF2=VCC/3时,比较器C1输出低电平,C2输出高电平,RS=01,触发器被置位,放电管T28截止,v0输出高电平,电容C又开始充电,当vC上升到时VREF1=2VCC/3,触发器又开始翻转。如此周而复始,输出矩形脉冲。其电路原理图如下:

EDA课程设计报告-16选1选择器

课程设计报告 课程名称数字逻辑课程设计 课题任务一 16选1选择器设计 课题任务二 JK触发器的设计 专业 班级

学号 姓名 指导教师 2013-12-8

课程设计任务书 课程名称数字逻辑课程设计课题任务一 16选1选择器设计课题任务二 JK触发器的设计

专业班级网络工程 学生 学号 指导老师 审批 任务书下达日期: 2011年 12月 14日 任务完成日期:2011年 12月 31日 前言 Quartus® II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。 QuartusII design 提供完善的timing closure 和LogicLock? 基于块的设计流程。QuartusII design是唯一一个包括以timing closure 和基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形 式,嵌自有的综合器以及仿真器,可以完成 从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix 上使用,除了可以使用Tcl脚本完成设计流

十字路口红绿灯规则

十字路口下车会被处罚吗? 没罚单没拍照就没事,破哨子没事,我上次在市区跑,挂的假牌,133,走到红灯,一看有交警,利马变更车道,右转了,破哨子嗷嗷的叫,我都没理。 按交通法规定: 开关车门不得妨碍其他车辆和行人通行,你在行车道上开关车门,肯定算是交通违法行为。不过一般的摄像头拍不到,除非是人工值守的摄像头和交警当场查处。所以下次注意就行了 停车就已经违反交规了~~ 驾车行经交叉路口不按规定行车或者停车的,一次记2分。 拍照是电子探头来拍照不过好多路段是路面监控你需要辨识下那些是探头哪些是监控这样开起来才心中有数 要是被拍到了就是2分200块钱 老交通法中规定,距离路口50米内不允许停车,停车罚款100元,扣1分。新交通法规定,距路口100米内不允许停车,停车罚款100--200之间,扣2分。 十字路口通行规则 首先红灯停绿灯行是大前提。如果红绿灯都是带有方向箭头指示的,就必须严格按照本车道所行进方向的灯号控制来通行;如果红绿灯都是满屏圆形灯号,绿灯时就直行和左转右转均可通行,红灯时左转和直行都不能通行,但是可以右转(除非路口有“红灯时禁止右转”警示标示)。 如果是左转右转时间问题,你可以找个会开的坐在你副驾,你边开变知道,开车没有纸上谈兵的,没效果,开几天就好很多。还有现在黄灯的时候也别穿停车线,看到黄灯了,就刹车把,哪怕停在停车线前面也不要紧;如果是绿灯的时候过了停车线,那还是可以过去的。转弯么先看车道,变对车道,在看灯,有些路口有转弯灯的,那比较好办,没转弯灯的,左转要先让对面直

行,右转时,如果是你这个方向绿灯,那当心右边的非机动车和行人,如果是横向马路绿灯,那要当心左边过来的车,基本还是要让直行的。 正常的圆灯信号,信号灯时红的时候,可以右转,但是必须保证你自身的安全情况下,靠马路的最右侧,注意车辆,这个时候直行不可以,左转也不可以,绿灯的时候,直行,左转,右转都可以,一定要注意车辆,在实线的地方不能宾道,一定在虚线区完成你要走的路线,一定要按照规定路线行驶。祝您出行安全,愉快,希望能帮到您。国信号灯的时候一定按照要求做,绿灯的时候行驶,红灯的时候停止。按照路线行驶,直行是绿灯的时候,左转是红灯,这个时候只能直行,不可以左转。右转正常就可以了,红灯停止,绿灯通行。看好国际信号的指示灯。 1。遇到红灯时车(包括自行车摩托车电动车)不能直行,也不能左转,但可以右转通行(不管在那个路口,右转不受红绿灯限制)。2。遇到绿灯时车可以直行,也可以左转右转(特别注意红绿灯上面的指示方向箭头)。3。方向灯为绿灯时是可以向红绿灯上面的指示方向箭头方向行驶。4。方向灯为红灯时是不可以向红绿灯上面的指示方向箭头方向行驶。红灯时,是不许左转弯的。 如果是国际信号,它会显示左转绿灯,可以左转弯。红灯时是可以右转弯的。但有很少一部分地方要按右转弯指示灯右转。道路交通安全法实施条例第三十八条机动车信号灯和非机动车信号灯表示: (一)绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行; (二)黄灯亮时,已越过停止线的车辆可以继续通行; (三)红灯亮时,禁止车辆通行。在未设置非机动车信号灯和人行横道信号灯的路口,非机动车和行人应当按照机动车信号灯的表示通行。红灯亮时,右转弯的车辆在不妨碍被放行的车辆、行人通行的情况下,可以通行。第三十九条人行横道信号灯表示: (一)绿灯亮时,准许行人通过人行横道;

十字路口交通灯控制系统(终)

安徽三联学院 学年论文 十字路口交通灯控制系统Crossroads traffic lights control system 专业:电气工程及其自动化 姓名: 学号: 指导老师: 2010年12 月15 日 信息与通信技术系

【摘要】根据8051单片机的特点及交通灯在实际控制中的特点,本文提出一种用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤,对在单片机应用中可能遇到的重要技术问题都有涉足。本文对十字路口状态预设为两种,一种是正常状态,另一种是故障或紧急状态,通过按键来实现。通过按键可以调节时间的显示;以及红绿灯亮的时间;还可以设置交通忙碌时间;当时间达到忙碌的时间,程序则进入忙碌时间。在此设计中用LCD1602来作为人机相联的显示屏,数码管作倒计时,双色LED作为红绿黄三种交通控制灯,四个按键当为设置和急停用。 【关键词】单片机;交通灯;时间显示器;数码管。 【Abstract】8051 features and characteristics of traffic lights in the actual control, this paper proposes a single-chip automatic control of traffic lights and time display. Given hardware and software design methods, the two steps of the design process including the hardware circuit design and programming have to get involved on important technical issues that may be encountered in the SCM application. Crossroads state default two, one is the normal state, another is a failure or emergency button. Button can adjust the time display; and traffic lights bright; can also set the traffic busy time; time to reach a busy time, the program is to enter a busy time. In this design, using LCD1602 as a display of human-computer linked digital tube to make countdown, the two-color LED as red, green and yellow three traffic control lights, four buttons to use for the set and emergency stop. 【Key words】SCM; traffic lights; time display; digital tube.

十字路口红绿灯单片机课程设计

黄河科技学院课程设计任务书 工学院机械系机械设计制造及其自动化专业10 级班学号姓名指导教师 题目: 彩灯控制器的设计 课程:单片机课程设计 课程设计时间 2013年10月28日至2013年11 月10 日共2 周 一、设计要求: 利用AT89C51单片机的4位数字加法计算器,能进行加减运算。 创新要求: 功能键能清零、超出位数光报警提示、违规操作声报警 二、设计方案分析: 采用4×4键盘,键盘定义十个数字键,六个功能键,使用串行动态显示显示运算结果。主程序进行初始化,采用行列扫描进行查表得出键值,每次按键后调用显示子程序。 主要单元电路的设计 复位电路 复位电路采用上电复位与手动复位相结合的方案。上电复位时,上电瞬间RST 端的电位与VCC相同,即为高电平,随着充电电流的减小,RST端的电位逐渐下降。只要高电平保持时间足够长,就可以使AT89C52有效地复位。手动复位时,按下复位按钮,电容C1通过R2电阻迅速放电,使RST端迅速变为高电平,复

位按钮松开后,电容通过R和内部下拉电阻放电,逐渐使RST端恢复为低电平。 电路如图所示 晶振电路 晶振电路是单片机的心脏,它用于产生单片机工作所需要的时钟信号,晶振电路给数字钟提供一个频率稳定准确的方波信号,可保证数字钟的走时准确及稳定,晶振电路如图 键盘电路的设计 键盘可分为两类:编码键盘和非编码键盘。编码键盘是较多按键(20个以上)和专用驱动芯片的组合,当按下某个按键时,它能够处理按键抖动、连击等问题,直接输出按键的编码,无需系统软件干预。通用计算机使用的标准键盘就是编码键盘。当系统功能比较复杂,按键数量很多时,采用编码键盘可以简化软件设计。但大多数智能仪器和电子产品的按键数目都不太多(20个以内),为了降低成本和简化电路通常采用非编码键盘。非编码键盘的接口电路有设计者根据需要自行决定,按键信息通过接口软件来获取。本课题需要的是16个按键,故选择用非编码键盘。 计算器输入数字和其他功能按键要用到很多按键,如果采用独立按键的方式,在这种情况下,编程会很简单,但是会占用大量的I/O 口资源,因此在很多情况下都不采用这种方式,而是采用矩阵键盘的方案。矩阵键盘采用四条I/O 线作为行线,四条I/O 线作为列线组成键盘,在行线和列线的每个交叉点上设置一

eda实验5答案-触发器的vhdl描述

library ieee; use ieee.std_logic_1164.all; entity try is port(j,k,clk,rd,sd: in std_logic; q,nq: buffer std_logic); end; architecture behave of try is begin process(j,k,clk,rd,sd) variable q_r,nq_r:std_logic; begin if(clk'event and clk='1' and rd='1' and sd='1')then if(j='0')and(k='1')then q_r:='0'; nq_r:='1'; elsif (j='1')and(k='0')then q_r:='1'; nq_r:='0'; elsif(j='1')and(k='1')then q_r:=not q; nq_r:=not nq; end if; elsif (clk'event and clk='1' and rd='0') then q_r:='0'; nq_r:='1'; elsif (clk'event and clk='1' and sd='0') then q_r:='1'; nq_r:='0'; end if; q<=q_r; nq<=nq_r; end process; end; sd=’0’ Rd=’0’

Work library ieee; use ieee.std_logic_1164.all; entity dcf is port(d,clk,rd,sd: in std_logic; q,nq:out std_logic); end; architecture behave of dcf is begin process(d,clk,rd,sd) variable q_r,nq_r:std_logic; begin if(clk'event and clk='1' and rd='1' and sd='1')then if(d='0')then q_r:='0'; nq_r:='1'; elsif (d='1')then q_r:='1'; nq_r:='0'; end if; elsif (clk'event and clk='1' and rd='0') then q_r:='0'; nq_r:='1'; elsif (clk'event and clk='1' and sd='0') then q_r:='1'; nq_r:='0'; end if; q<=q_r; nq<=nq_r;

十字路口交通灯控制设计

网络教育学院 《可编程控制器》大作业 题目:十字路口交通灯控制设计 学习中心:辽宁彰武电大学习中心 层次:高中起点专科 专业:电力系统自动化技术 年级: 2015 年秋季 学号: 151524228206 学生姓名:陈润泽

题目五:十字路口交通灯控制设计 起动后,南北红灯亮并维持30s。在南北红灯亮的同时,东西绿灯也亮,东西绿灯亮25s后闪亮,3s后熄灭,东西黄灯亮,黄灯亮2s后,东西红灯亮,与此同时,南北红灯灭,南北绿灯亮。南北绿灯亮25s后闪亮,3s后熄灭,南北黄灯亮,黄灯亮2s后,南北红灯亮,东西红灯灭,东西绿灯亮。依次循环。 十字路口交通灯控制示意图及时序图如下图所示。 设计要求:(1)首先对可编程序控制器(PLC)的产生与发展、主要性 能指标、分类、特点、功能与应用领域等进行简要介绍; (2)设计选用西门子S7-200 系列PLC,对其I/O口进行分配, 并使用STEP7-MicroWIN编程软件设计程序梯形图(梯形图 截图后放到作业中); (3)总结:需要说明的问题以及设计的心得体会。

1 设计背景 1.1 背景概述 本文对十字路口交通信号灯控制系统,运用可编程逻辑器件PLC做了软件与硬件的设计,能基本达到控制要求。系统仅实现了小型PLC系统的一个雏形,在完善各项功能方面都还需要进一步的分析、研究和调试工作。如果进一步结合工业控制的要求,形成一个较为成型的产品,则需要作更多、更深入的研究。 1.2 可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logic Controller,简称 PLC) 根据国际电工委员会(IEC)在1987年的可编程控制器国际标准第三稿中,对其作了如下定义:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境应用而设计的。它采用可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制,定时,计数与算术运算等操作的指令,并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外部设备,都应按易于使工业控制系统形成一个整体,易于扩充其功能的原则设计。”可编程控制器作为目前工业自动化的重要基础设备,被称为“工业自动化三大支柱性产业之一”,在各工业生产领域发挥着愈来愈大的作用。 2 十字路口交通信号灯PLC控制系统简介 2.1 控制对象及要求 2.1.1 控制对象 本系统的控制对象有八个,分别是: 东西方向红灯(R—EW)两个; 南北方向红灯 (R—SN) 两个; 东西方向黄灯(Y—EW)两个; 南北方向黄灯 (Y—SN) 两个; 东西方向绿灯(G—EW)两个;

十字路口红绿灯的合理设置

十字路口红绿灯的合理设置 陈金康 检索词:红绿灯设置、红绿灯周期 一、问题的提出 作为城市交通的指挥棒,红绿灯对交通的影响起着决定性作用。如果红绿灯的设置不合理,不仅会影响到交通秩序;还有可能会影响到行人和自行车的安全。 目前杭城还有很多路口的红绿灯设置存在一些不合理的因素,我们以古墩路一个路口(界于天目山路和文苑路之间)的红绿灯设置为例,该路口是刚开通的,交管部门对路况和车流量的研究还不是很成熟,因此红绿灯的设置存在一些问题。该路口的车流量相对比较小,有几个方向的车流量特别小,但绿灯时间设置太长,经常出现路口空荡荡但是车辆必须长时间等待的情况;同时在这样的路口,右转红灯显得有些多余。另外,该路口不同时段的红绿灯设置没有什么区别,显然这是非常不合理的。 下面我们就针对该路口来研究一下红绿灯设置的合理方案。我们主要研究两个方面:红绿灯周期的设置以及一个周期内各个方面开绿灯的时间。 二、模型的建立 1、红绿灯周期 从《道路交通自动控制》中,我们可以找到有关红绿信号灯的最佳周期公式: s q L C ∑-+=15 其中 : C 为周期时间。 相位:同时启动和终止的若干股车流叫做一个相位。 L 为一个周期内的总损失时间。每一相位的损失时间I=启动延迟时间-结束滞后时间;而整个周期的总损失时间为各个相位总损失时间的和加上各个绿灯间隔时间R 。(通俗地讲,启动延迟时间即司机看到绿灯到车子启动的反应时间,结束滞后时间即绿灯关闭到最后一辆车通过的时间。) 即R I L +∑=

q 为相应相位的车流量 s 为相应相位的饱和车流量。(当车辆以大致稳定的流率通过路口时,该流率即该相位的饱和车流量。) 2、南北方向和东西方向开绿灯时间的分配 不妨忽略黄灯,将交通信号灯转换的一个周期取作单位时间,又设两个方向的车流量是稳定和均匀的,不考虑转弯的情形。 设E 是单位时间从东西方向到达路口的车辆数;S 是单位时间从南北方向到达路口的车辆数。假设在一个周期内,东西方向开红灯、南北方向开绿灯的时间为R ,那么在该周期内,东西方向开绿灯、南北方向开红灯的时间为1-R 。 我们要确定交通灯的控制方案,即确定R 。度量一个十字路口的串行效率的主要依据是单位时间内所有车辆在路口滞留的时间总和。因此要确定R ,只需保证在一个周期内,所有车辆在路口滞留的时间总和最短即可。一辆车在路口的滞留时间通常包括两部分,一部分是每辆车遇红灯后的停车等待时间,另一部分是停车后司机见到绿灯重新发动到开动的时间0t ,它是可以测定的。 首先,对任意给定的R (0

十字路口红绿灯控制系统

课 程 设 计 2015 年 7 月 30 日 设计题目 学 号 专业班级 学生姓名指导教师

目录 一、主要指标及要求 (1) 二、方案选择 (1) 三、工作原理分析 (1) 四、单元模块设计及分析 (2) 4.1时钟信号脉冲发生器设计 (2) 4.2定时器设计 (4) 4.3 延时电路设计 (5) 4.4状态转换电路设计 (6) 4.5置数组合逻辑设计 (7) 五、总电路图 (9) 六、设计心得 (9) 七、参考文献 (10)

十字路口自动红绿灯指挥系统 班级:指导老师: 学生: 学号: 一、主要指标及要求 1.自动完成绿-黄-红-绿-……工作循环; 2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环; 3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机; 4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。 二、方案选择 三、工作原理分析 本电路分为五个模块,即时钟信号脉冲发生器、定时器、延时电路、状态转换电路、置数组合逻辑电路。其中由555定时器组成的时钟信号脉冲发生器为由两片74LS192计数器组成的定时器电路提供1Hz的脉冲信号,使计时器能够正常计数。由三片双四选一数据选择器组成的置数组合逻辑电路分别为计数器置19s、4s、14s和0s等不同的数。当计数归零时,计数器的溢出信号使双D触发器的状态发生跳转,同时控制着绿黄红灯的亮灭,使得绿黄红灯亮时,定时器分别置19s、4s、14s。延时电路起到延时作用,当计数器计数归零时,溢出信号通过延时电路先使触发器状态发生翻转,再加载LD信号,使计数器置一个新数。 四、单元模块设计及分析 4.1时钟信号脉冲发生器 时钟信号脉冲发生器选用555定时器主要用来产生秒脉冲信号。脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器是一种模拟和数字功能相结合的中规模集成器件。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 555定时器构成多谐振荡器,组成信号产生电路接通电源后,VCC通过电阻R1、R2给电容C充电,充电时间常数为(R1+R2),电容上的电压vC按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,

2016EDA实验报告D触发器的设计

ALTERA FPGA设计之带复位的D触发器_基本实验Quartus II 13.0 SP1 + Modelsim SE-64 10.2c + Cyclone 【姓名学号】 实验目的: 1、熟悉VHDL触发器的设计; 2、了解FPGA基本结构中的触发器。 3、熟悉VHDLtest bench(测试平台/测试激励)的设计; 4、熟练掌握Altera FPGA的开发环境、设计步骤和流程。 实验形式:边做实验边写实验报告,实验完成后即提交实验报告。 【描述实验中的设计】 用IF 语句设计D 触发器,实现带复位功能的D触发器。 【实验中设计实现的HDL代码】 library ieee; use ieee.std_logic_1164.all; entity exp1 is port(R:in std_logic; clk:in std_logic; D:in std_logic; Q:out std_logic; Q0:out std_logic); end exp1; architecture arch_exp1 of exp1 is signal a:std_logic; begin process(clk,R) begin if(clk'event and clk='1')then if(R='0')then a<='0'; else a<=D; end if;

end if; end process; Q<=a; Q0<=not a; end arch_exp1; 【实验中用于仿真的HDL test bench代码】library ieee; use ieee.std_logic_1164.all; entity exp1_tb is end exp1_tb; architecture arch_Etb of exp1_tb is component exp1 is port(R:in std_logic; clk:in std_logic; D:in std_logic; Q:out std_logic; Q0:out std_logic); end component; signal R,clk,D,Q,Q0:std_logic; begin u_tb:exp1 port map(R=>R,clk=>clk,D=>D,Q=>Q,Q0=>Q0); process begin R <='0'; D <='0'; wait for 10 ns; R <='0'; D <='1'; wait for 10 ns; R <='1'; D <='0'; wait for 10 ns; R <='1'; D <='1'; wait for 10 ns; R <='1'; D <='0'; wait;

十字路口交通灯Proteus仿真

《微机原理与接口技术》课程设计报告 题目:十字路口交通灯设计 学院:信息工程学院 专业:通信工程 目录 1、摘要 (1) 2、硬件电路图 (2) 3、AT89C51功能介绍 (3) 4、交通灯程序设计思路 (5) 5、交通灯运行流程图 (6) 6、源代码函数说明 (6)

7、交通灯设置红绿灯时间结果图 (8) 8、心得与体会 (9) 9、源程序代码 (10)

摘要 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。通常,生活中常见的红绿灯控制为,红灯六十秒,绿灯四十五秒,黄灯三秒等,因道路,车辆,城市交通规划而异,此次,基于proteus仿真十字路口的交通灯控制系统,设定南北方向绿灯15秒,黄灯5s,东西方向绿灯10s,黄灯5s。系统基于MSC-51系列单片机89C51为中心器件来设计交通灯,显示器件为LED 红绿灯,LCD数码管。采用c51编程,简单易懂,将功能模块化,除了可以实现红绿灯按要求变化,还通过proteus里的按钮,设置了键盘函数,可以按要求调整红绿灯亮的时间,并且还有重置(初始化)按钮。 一.功能概述 1.设计任务: 交通灯的硬件设计和软件设计 2.设计目的: (1).初步了解和认识51单片机的工作原理,引脚图。 (2).掌握单片机相关接口技术和相关外围芯片的特性。 ( 3 ).通过实际的设计程序,查找资料,调试程序,熟悉keil和proteus软件仿真,理解并熟悉模块化程序设计方法和调试。 3.基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用 4 只LED 数码管显示十字路口两个方向的剩余时间。当东西方向亮绿灯时,南北方向红灯亮起;反之,如果南北方向亮绿灯,同时东西方向亮绿灯;绿灯亮时车辆行驶,红灯亮时车辆停止。即在同一时间内保证只有两个对应方向的车辆可以行驶。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。系统的工作应符合一般交通灯控制的要求。 4.设计方案 设计系统单片机89C51 为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强、成本较低。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,数码管显示剩余时间。红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯,8 管共阴极数码管显示剩余时间,矩阵键盘用来设置交通灯的显示时间和状态。

PLC 十字路口交通灯控制模拟

PLC实验报告 实验三十字路口交通灯控制模拟 一、实验目的 1、掌握可编程控制器的工作原理。 2、通过动手接线,提高学生的实际动手能力以及加强对PLC基本结构的了解。 3、通过实验,,加强学生对PLC逻辑顺序编程的理解,使学生能够熟练应用PLC 定时器,以及PLC的基本辅助继电器 二、实验内容 十字路口南北方向和东西方向均设有红、黄、绿三只信号灯,交通灯启动时,6 只信号灯依一定的时序循环往复工作。交通信号灯的时序图如下图所示。

图1 整个交通灯系统至少要设置有启动键,停止键以及复位键。启动键启动系统按照上面时序开始运行;停止键停止系统,6个信号灯全部熄灭;复位键复位系统,此时无论系统处于什么状态,复位后系统重新开始运行。 本实验是一个简单时序的顺序控制实验,关键是要将交通灯状态变化的时间点标记出来。分析时序图,找出交通灯状态发生变化的每个时间点,并使PLC 做出相应的动作改变交通灯的状态。 三.实验I/O端口分配 1.输入端口 2.输出端口

四.硬件接线图 24V PLC 南北红灯南北绿灯南北黄灯东西红灯东西绿灯东西黄灯 五.实验梯型图及程序简介

六.系统使用说明书 1.按下启动键SB1,常开接点X000闭合,继电器M0闭合并进行自锁,定时器T0 T1 开始计时,首先东西方向红灯Y27亮,南北方向绿灯Y6亮,南北方向通行。 2.定时器T1计满13秒时,南北方向黄灯Y6开始闪亮,黄灯闪亮时间为2秒 3.定时器T3和T4形成一个分频电路,周期为1秒,占空比为50%,用这样一个矩形波去控制黄灯的闪亮 4.T0 计满15秒时,南北方向红灯Y17亮,东西方向绿灯Y4亮,其他灯灭,东西方向通行。 5.定时器T1计满13秒时,东西方向黄灯Y5开始闪亮,黄灯闪亮时间为2秒,闪亮原理同上。然后循环重复上述过程。 6.当停止按钮SB2,继电器M0失电,此时所有的输出灯都将熄灭,程序停止运行。 7.无论何时当复位按钮SB3按下时,定时器T0 T1将复位,程序重头开始运行。 七.实验小结 大二做过智能交通灯的程序设计,也是用的梯形图语言,然而到现在却大抵忘却了。 通过老师及书本上一些知识的介绍,我们组又重新了解了PLC 的相关知识及梯形图语言,经过一番理解与全局的设计,但是在实验

带数码管显示的十字路口交通灯控制 C 程序

一.课程设计目的 用汇编语言独立完成一个程序题,以达到熟练运用汇编语言编程实现有比较完整功能的程序的目的。 ⒈了解交通灯管理的基本工作原理 ⒉熟悉8259A中断控制器的工作原理和应用编程 ⒊熟悉8255A并行接口的各种工作方式和应用 ⒋熟悉8253计数器/定时器的工作方式及应用编程,掌握利用软硬件相结合定时的方法 二.课程设计任务 本次课程设计的内容为交通信号灯的实时控制和管理。 某交通干线车行道和人行横道的交通信号灯设置如图所示: 其中:表示红灯、表示黄灯、表示绿灯 具体要求如下: 1.东西方向车辆放行60秒钟。即东西方向的绿灯和南北方向的红灯同时点亮1分钟; 2.1分钟后,东西方向的黄灯闪烁5秒钟,以警示车辆将切换红绿灯。此时南北方向仍维持红灯点亮。在南北方向亮红灯期间,在2位数码管上显示计数值(每秒减1),从65减为0。 3.东西方向的黄灯闪烁5秒钟后,转为南北方向放行20秒钟。即东西方向的红灯和南北方向的绿灯同时点亮20秒钟; 4.南北方向放行20秒钟后,转为南北方向的黄灯闪烁5秒钟,以警示将切换红绿灯。此时东西方向仍维持红灯点亮。 5.南北方向的黄灯闪烁5秒钟后,再转为东西方向车辆放行1分钟。如此循环重复。 三.总体设计方案 1.用实验系统8255A实现对信号灯的控制(所用端口自定);2位数码显示用8255A实现控制。

2. 用实验系统8235的计数器2定时向实验系统主片8259A的IRQ7请求中断,以实现要求的1分钟、5秒钟和20秒的定时。 实验系统8235的计数器2的CLK2接OPCLK,频率为1.19318MHZ;GATE2已接+5V; 定时采用软硬件相结合的方式实现。 ⒊用实验系统的发光二极管模拟红绿灯。 注:8259A的端口地址为:218H、219H 8255A的端口地址为:端口A-200H、端口B-201H、端口C-202H、控制端口-203H 8253的端口地址为:计数器0-208H、计数器1-209H、计数器2-20aH、控制寄存器0-20bH。 四.部分电路设计及功能解说 设计数器0的计数初值为25000,由于CLK0接脉冲信号,频率为2.5MHZ,所以每10ms中断一次。利用CX对不同的状态时间计数,用来实现计数器0对1分钟,20秒钟,5秒钟的定时。中断子程序分为数码显示刷新部分和红绿黄灯各种状态切换部分。每进入中断即刷新LED显示。用对于东西车道和南北车道黄灯闪烁利用标志位判断实现,满足比较条件就暗,不满足条件就亮。 五.程序设计流程图

EDA设计性实验及开放实验题目

设计性实验及开放实验题目 设计一数字式竞赛抢答器 要求: 1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒 的音响。 5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。 教学提示: 1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信 号后将输入封锁,使其它组的抢答信号无效。 2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发 出音响。 3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十 位、百位进行加/减运算即可。 设计三数字频率计 要求: 1、设计一个能测量方波信号的频率的频率计。 2、测量的频率范围是0 999999Hz。 3、结果用十进制数显示。 设计提示: 1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计 的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选 择可以控制,即量程可以改变。 4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号 才通过主控门。 5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。 6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所 有触发器复位,为新的一次采样做好准备。 7、改变量程时,小数点能自动移位。 设计四拔河游戏机 要求: 1、设计一个能进行拔河游戏的电路。 2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次, 亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢 复到中心。 5、用数码管显示获胜者的盘数。 设计提示: 1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出, 使中间一只二极管发亮。 3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

十字路口交通灯控制模拟

目录 1. 概述 (1) 2. 硬件设计 (2) 2.1.控制要求 (2) 2.2. PLC介绍 (3) 2.2.1 PLC的基本概念 (3) 2.2.2 PLC的主要特点 (4) 2.2.3 PLC的结构及其工作原理 (4) 2.4.I/O分配表 (7) 2.5.I/O接线图 (8) 3. 软件设计 (9) 3.1设计梯形图 (9) 3.2设计指令表 (12) 4. 调试 (15) 4.1 . 编程思想 (15) 4.2. 控制系统的程序调试步骤 (15) 4.3. 调试过程遇到的问题及解决方法 (15) 5. 结束语 (16) 6.参考文献 (17) 1.

1. 概述 十字路口交通指示灯在日常生活中随处可见,设计安全可靠的交通灯在正常生活中起着重要作用。应用PLC设计满足要求实际要求的十字路口指示灯是一个非常重要的手段。PLC是以微处理器为基础,综合了计算机技术.半导体技术.自动控制技术.数字技术和网络通信技术发展起来的一种通用工业自动控制装置。PLC以其可靠性高.灵活性强.使用方便的优越性,迅速占领了工业控制领域。 本设计介绍了应用PLC实现十字路口交通信号灯的自动控制。通过对交通信号灯的控制要求分析,对PLC控制系统进行了软、硬件设计,并通过实验证明该系统简单、经济、运行可靠,具有很高的实用价值。

2.硬件设计 2.1.控制要求 在十字路口南北方向以及东西方向均设有红,黄,绿3只信号灯,6只信号灯依一定的时序循环往复工作。信号灯受电源总开关控制,接通电源,信号灯系统开始工作;关闭电源所有的信号灯都熄灭,程序自动关闭。在晚上车辆稀少时,要求交通灯处于下班工作状态,即两个方向的黄灯一直闪烁。 在信号灯工作期间,东西以及南北方向的红灯亮维持30秒,在红灯亮时的最后2s,东西以及南北方向的黄灯同时闪烁,时间为2s,东西以及南北方向的绿灯为长亮25s,然后闪烁3s。下图为交通灯示意图 2.2.总体思路

相关主题