搜档网
当前位置:搜档网 › 数电设计乒乓球游戏机

数电设计乒乓球游戏机

数电设计乒乓球游戏机
数电设计乒乓球游戏机

数字电路综合设计

---------乒乓球游戏机

目录

一、设计题目与要求……………………………… P2

二、设计过程……………………………… P2

三、设计图示……………………………… P6

四、设计心得与改进方案……………………………… P9

一、设计题目与要求

1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;

2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;

3.甲、乙各有一数码管计分;

4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

考虑到制板的限制等情况,讲题目的要求一简化只用一个按钮,用要下的不同顺序表示两个球拍,则连带的要求二的“都犯规,各自加1分”不能实现。其他重要主体功能不变。

二、设计过程

1、计分模块

对于本模块,采用两个74161计数器,74161计数后通过译码器4511再通过共阴极数码管5161AS进行显示,当双方在左右端的灯按下按钮时,说明运动员正常击球,不予以计分。当在中途击球时,则给相应的运动员记一分,说明运动员失误。对于本模块功能的实现,采用的方法是控制74161的使能端,当球达到最后一个发光二极管时显示模块击球,通过一个非门使其不工作,则此时按下按钮不计分。灯在其他位置时74161计数器工作,则能按下按钮计分。

此模块的原理图示如下:

2、复位模块

对于裁判端的复位功能,采用一个开关对74161清零端进行控制即可实现。如题目要求,当运动员得分后即可按下此按钮。同时,在系统初次上电时,也需要按下此按钮进行初始化。

该功能原理图示如下:

对于乒乓球的运行速度控制,采用555定时器构成的多谐振荡器完成。通过多谐振荡器的计算公式:

即可选取适当的电阻电容以完成此功能。

该系统的原理图示如下:

在本次设计中,按题目要求,采用8个发光二极管表示球。8个二极管阴极共连后接地,正极分别接到两个74194的输出端。乒乓球的来回移动通过jk触发器控制74194的S0、S1端口,当按钮按下时即进行左移右移的切换;

此模块原理图如下:

三、设计图示

1、总体原理图

2、PCB图

3、Multisim软件仿真图

4、硬件图

四、设计心得与改进方案

1.本次设计应用了multisim 和altium designer这两个软件,multisim 用于仿真,ad软件用于绘制PCB板。本次设计总体来说的简单的,但让我们学的最多的是电子设计软件的应用和设计制版的流程。比如在实际用热转印法制作PCB板就有许多需要注意的地方,加热温度与次数,腐蚀电路板等方面都值得我们去小心注意。最重要的是,板子制作出来后的调试。电路软件仿真已经没有什么问题,但实际做出来后却问题不断。在调试电路板的那几天是最难熬的,问题解决一个又有一个冒出来。这次设计也让我明白调试时的思路清晰是很重要

的,烦躁解决不了问题。当所有问题一一解决后,出来的就是和仿真一样的效果,这是很令人欣慰的。

2.改进方案

(1)此次设计中555构成的多谐振荡器采用的电阻阻值是一定的,实际改进时可采用电位器调节接入电路阻值的大小,届时可调节乒乓球的运行速度。

(2)在PCB版的布局布线中可进行优化,使其更美观些跳线更少些。

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

Verilog HDL乒乓球游戏机

module ping_pang(clk1khz,rst,af,aj,bf,bj,shift,seg71,seg72); input clk1khz,rst; input af,aj,bf,bj;//af,aj为A方发球,A方击球 output[7:0]shift; //output[3:0]scan; output[6:0]seg71,seg72; reg clk2hz; reg[31:0]cnt; reg[6:0]seg71,seg72; reg[3:0] a_score,b_score; reg a,b; reg[7:0]shift; /*******2Hz分频********/ always@(posedge clk1khz) begin if(cnt==19999999) begin cnt=0;clk2hz=~clk2hz; end else cnt=cnt+1'b1; end /*******比赛规则********/ always@(posedge clk2hz,posedge rst) begin if(rst) begin a_score=0; b_score=0; a=0; b=0; shift=8'b0; end else if(a==0&&b==0&&af==1)//A发球 begin a=1; shift=8'b10000000; end else if(a==0&&b==0&&bf==1)//B发球 begin

b=1; shift=8'b00000001; end else if(a==1&&b==0) begin//球从A到B if(shift>16)//若没过球网B击球,A加分 begin if(bj==1) begin a_score=a_score+1'b1; a=0;b=0; shift=8'b00000000; end else shift={1'b0,shift[7:1]};end else if(shift==0)//B一直没击球,A加分 begin a_score=a_score+1'b1; a=0;b=0;end else begin if(bj==1)//B击球成功 begin a=0;b=1;end else shift={1'b0,shift[7:1]}; end end else if(b==1&&a==0)//球从B到A移动begin if(shift<16&&shift!==0) begin if(aj==1) begin b_score=b_score+1'b1; a=0;b=0; shift=0; end else shift={shift[6:0],1'b0}; end else if(shift==0) begin b_score=b_score+1'b1; a=0;b=0; end else begin if(aj==1)

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

机械原理课程设计-乒乓球发球机说明书

机械原理课程设计 说明书 机械工程学院机械设计制造及其自动化专业 11级机自9班 设计者: 指导教师: 2013年 07 月 19 日

一.设计题目 (3) 二.项目组成员及分工 (3) 三.设计方案与选择 (4) 1. 功能分析与分解 (4) 2. 设计方案与原理 (4) 送球装置的设计 (4) 3. 方案评价与选择 (6) 4. 总体方案 (7) 5.机构简图: (8) 6.运动循环图: (8) 四.选定机构的尺寸设计 (9) 1. 机构的运动简图 (9) 2. 机构的自由度计算 (10) 3. 机构的尺寸及数据设计 (10) (1)齿轮机构数据设计 (10) (2)送球机构的尺寸分析 (12) (3)摆头机构的尺寸分析 (13) A. 连杆的尺寸设计 (13) B. 凸轮的尺寸设计 (15) 五.选定机构的运动分析 (17) 1.送球装置的运动分析 (17) A.位移分析 (17) B.速度分析 (18) C.加速度分析 (19) D.平衡力矩分析 (20) 3. 发球机构的运动分析 (22) 速度分析 (22) 六.心得体会 (22) 七.参考资料 (23) 八.附录 (23)

一.设计题目 1.选题意义 乒乓球是一种世界流行的体育项目,深受人们的喜爱。但作为一种对抗性运动,当你只有一个人的时候,只能徒唤奈何了。乒乓球发球机便是为了应对这种情况产生的,它对人们技术的提高与自身的锻炼有很大的帮助。 2.设计要求 乒乓球直径d=40mm 发球时的速度v=10~30m/s 乒乓球发球机的摆角为±15° 乒乓球发球机发球频率f=30~50个/分钟 乒乓球发球机发出的球需带旋度 乒乓球在距乒乓球台300mm的高度释放 二.项目组成员及分工 小组成员:闫旭赵强郑笑竹 分工::机构设计、三维图制作、尺寸设计 :机构设计、三维图制作、说明书的编写、尺寸设计 :机构设计、尺寸设计及计算、运动分析、说明书、PPT 制作

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

乒乓球自动发球机创新设计说明书

广州学院 《机械创新设计竞赛》产品设计说明书 乒乓球自动发球器 院(系)机械工程学院 专业机械工程及自动化 班级 09机电3班 学生何炳键 指导老师牛吉梅 2012 年12月 20日

机械创新设计任务书 兹发给09机电3班班学生何炳键设计任务书,内容如下:1.设计题目:兵乓球自动发球机的设计 2.应完成的项目: (1)了解自动乒乓球自动发球机的工作过程 (2)分析市场上的乒乓球自动发球机的相关情况 (3)根据要求对机构进行创新设计 (4)进行软件系统的设计 (5)做完课程设计之后的感受 (6)编写20页左右的详细说明书 3.参考资料以及说明: (1)李琳,李杞仪主编. 机械原理. 中国轻工业出版社,2009 (2)杨忠秀主编. 机械原理课程设计指导书. 机械工业出版社,2003 4.本设计任务书于2012年11 月14日发出,应于2012年12月21日前完成,然后进行答辩。 指导教师签发2012 年11 月14 日

课程设计评语: 课程设计总评成绩: 指导教师签字: 年月日

目录 摘要 (1) 第一章绪论 (2) 第二章机构设计 (3) 2.1 发球机的机构设计 (3) 2.2发球机的控制系统设计 (4) 第三章机构各部分的设计和选材 (6) 3.1主机体的设计和选材 (6) 3.2机座部分的设计 (7) 3.3输送机构的设计 (7) 3.4.材料的选择 (7) 3.5.传动齿轮的设计 (8) 第四章软件系统设计 (8) 4.1 软件系统设计 (8) 第五章结论 (12) 第六章设计后的感想 (13) 参考文献 (14)

摘要 摘要:乒乓球发球机是集电子、机械和计算机技术为一体的综合技术产物,它具有自动化程度高、灵敏度高、稳定性可靠等多种特性。它的基本工作原理是利用转轮摩擦球原理,乒乓球由于受到一个旋转磨擦轮的作用可以获得一定的初速度,而摩擦轮在一个直流电机的控制下工作。当电机带动驱动轮转动时,会磨擦乒乓球球使球获得一定的动能,乒乓球才得以发射出去。这种原理方案既简单又实用,它既能保证乒乓球球发射的稳定性,又能极好地控制乒乓球发射的角度及速度,使用户随心所欲地练习打乒乓球。 根据课程设计的要求,我选择了抛投机械的设计,根据相关的要求,我选用了乒乓球作为抛投物,本设计提出了一种全自动控制的乒乓球发球机。根据抛投物的特性,乒乓球质量较轻,因此发球机的体积相对比较小。该发球机应用单片机C8051为软控芯片,实现通过远程控制来改变发球快慢、发球力度、发球高度及角度等的功能,并可模拟进行人工发球,实现随机发球的效果。本设计实现简单,使用方便,可满足乒乓球运动员对不同强度专业训练的要求。也可以满足业余乒乓球爱好者的平时的训练和锻炼时使用。 关键词:发球机;单片机;远程控制;抛投

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

基于51单片机的乒乓游戏机设计附Proteus仿真_毕业设计

基于51单片机的乒乓游戏机设计附Proteus仿真 基于51单片机的乒乓游戏机设计附Proteus仿真 目录 1 绪论 (1) 1.1 本设计的研究背景和研究目的 (1) 1.2 国内外研究现状 (1) 1.3 本设计的任务和设计方法 (2) 1.3.1 设计任务 (2) 1.3.2 设计方法 (2) 2 乒乓游戏机设计方案 (3) 2.1 基于单片机的乒乓游戏机设计 (3) 2.1.1 硬件设计 (3) 2.1.2 软件设计 (4) 2.2 基于FPGA的乒乓游戏机设计 (4) 2.3 方案比较与选择 (5) 3硬件电路的设计 (6) 3.1 硬件核心电路选择 (6) 3.1.1 单片机STC89C51简介 (6) 3.1.2 单片机端口分配 (7) 3.2 电源电路的设计 (8) 3.3 时钟电路的设计 (9) 3.4 复位电路的设计 (10) 3.5 按键电路的设计 (10) 3.6 模拟球台电路的设计 (12) 3.6.1 译码器简介 (12) 3.6.2发光二极管简介 (14) 3.6.3 模拟球拍电路的设计 (15) 3.7 显示电路的设计 (15) 3.7.1 LCD1602简介 (15) 3.7.2 显示电路的设计 (16)

3.8 乒乓游戏机总电路的设计 (17) 4 乒乓游戏机的软件设计及编程 (18) 4.1 主程序的设计及功能实现 (19) 4.2 按键组的设计及功能实现 (20) 4.2.1 球拍模拟子程序 (20) 4.2.2 暂停/开始子程序 (20) 4.3 发球程序的设计及功能实现 (21) 4.4 线路程序的设计及功能实现 (21) 4.4.1 线路选择子程序 (21) 4.4.2 LED点阵子程序 (22) 4.5 回球程序的设计及功能实现 (22) 4.6 LCD显示程序设计及功能实现 (23) 4.7 设计源程序 (24) 5 系统调试及分析 (24) 5.1 仿真调试及分析 (24) 5.1.1 Proteus软件简介 (24) 5.1.2 Keil uVision4软件简介 (25) 5.1.3 仿真调试 (25) 5.1.4 仿真调试的结果分析 (28) 5.2 实物调试及分析 (28) 5.2.1 制作实物的过程 (28) 5.2.2 进行实物调试 (28) 5.2.3 实物调试的结果分析 (31) 6 结论与展望 (32) 谢辞(Acknowledge) (33) 参考文献 (34) 附录1:程序 (36) 附录2:元件清单 (51)

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

DIY乒乓球发球机

DIY乒乓球发球机 1材料准备 名称数量备注摩托车风扇电机 2 5000转/分,12V,30W 四驱两驱减速电机 3 180转/分,12V,30W PWM12V调速器 3 淘宝 厚3MM铝板 1 250mm*250mm 12V旧电脑电源 1 10A 直径16MM铝棒 1 长65mm M4*8的螺丝螺母20对 M4*6 10 M5*9 5对 3A双芯电线8米 MM50PPV水管1米 PPC45度弯管2个 PPC90度弯管1个 PPVT型管接头1个 直径3mm的钢丝500mm 硅胶轮2只车床加工 矿泉水筒1只 3A的开关5个

2制作过程 2.1Cad制图 2.2铝板3mm加工如下图,用于安装高速电机,机头等。 2.3PPV50mm水管挖孔用于机头,直径16mm铝棒加工,用于连接减速电机与T型拔球器与G型顶球器。

2.4机头原理及装配图 如下图,发球机出球管上有两个高速旋转的摩擦轮4 ,7由安装在板3上的高速电机5,8带动,它们的旋转方向相反,它们之间的间隔空隙略小于乒乓球的直径。当球接触到摩擦轮的时候,由于受到两个反向旋转轮的摩擦力作用。球便获得一定的初速度,从而将球发射出去。当两摩擦轮速度不同时,即可发射不同旋转速度的上球或下旋球,双轮转速相等时,就是不转球的发射。出球管可以转动,转动出球管,就可发出侧旋球,或侧上,下旋球等。摇头口1在减速电机9、圆型偏心凸轮2以及弹簧10的作用下实现摇头运动。换上不规则轮廓的凸轮,球的落点心可实现随机模式。6为两个45度弯管,相对转动可以实现出球口在一个半球范围内任意调整,以便实现高吊球,弧圈球,等。 2.5控制原理及装配图 实际应用中,普通的双轮驱动发球机基本采用单片机控制直流电机的电压,需要反复尝试才能改变出球弧线。高档双轮发球机可以发出高吊球,前冲弧圈球,攻球,削球,不转球,长胶球,以及各种旋

旋雷双头四轮乒乓球发球机使用说明书

旋雷牌乒乓球发球机使用说明书: 一:本说明书只适用于旋雷牌双头四轮发球机。 首次使用前必须先充电,并在充电时详细研读说明书。本机不支持插上充电器运转,会造成充电器过载并干扰机器运行! 二:验机 注:本机出厂前会打几轮检验,机壳和击球轮都有轻微磨痕属正常现象。 1:从包装箱内取出发球机,先不要放入乒乓球,按住停止键向左拨动总电源机械开关开机,使本机呈待机状态。 2:不要放入乒乓球,将控制板上的6只旋钮顺时针拧到底后向回拧一点(无具体要求,大概回拧10度到20度之间),然后点击一下启动键,启动发球机,此时四只击球电机开始旋转,传球蜗杆大概在两三秒钟之后开始转动,运转10-20秒后点击一下停止键,机器会停止运行,此时就可以确定发球机能够正常使用了。放入乒乓球,调节到自己需要的旋转力度,再次启动发球机进行训练。 3:击球轮控制旋钮必须处于能够在启动后使击球轮旋转的角度,球仓才可以放入乒乓球启动发球机,以免启动后传球蜗杆把球传送到机头里而击球轮不旋转,导致无法将球击出造成卡球。当把某个击球电机的转速调节的过低时,下一次启动后此电机可能不转导致出球力度明显减弱,此时应把此电机转速稍微调高一些。 三:安全事项——非常重要!!! 1:乒乓球属于易燃物品,所以充电时机器里严禁放有乒乓球! 2:夏季不可长时间把本机置于车内,高温可能引起锂电池爆燃导致严重事故! 四:控制板说明 1:打开总电源机械开关瞬间请一定要按住停止键,尽量避免开机直接启动。

2:总电源机械开关位于控制板中间下方,充电插孔的上方。 3:打开(向左拨动)总电源机械开关后,点击一下启动键,机器会开始工作。 4:机器运时只要点击一下停止键就会停止工作。 5:旋转力度调节说明:标注有上轮转速的旋钮,是用来控制其中一个机头上击球轮转速的;同侧标注有下轮转速的旋钮,是用来控制同侧机头下击球轮转速的。 收货充电后请先把发球机摆在自己这半台,对准球桌另一面的集球网打几轮球,用20分钟练习一下怎样用控制板调节不同的旋转和力度,基本规律如下: 发上旋球:上轮转速旋钮顺时针拧到底,然后通过调节下轮转速来调节射程。 发下旋球:下轮转速旋钮顺时针拧到底,然后通过调节上轮转速来调节射程。 即:调节上旋球和下旋球的射程,拧满一个旋钮,通过调节另一个旋钮即可。 发不转球:上下轮旋钮拧到相近角度即可。 提示:如果上下轮都拧到头,球的射程相当于上下轮的叠加,会直接飞出四五米落地。豪车发动机功率大,并不是为了随时把油门踩到底的,而是为了有更好的提速和操控。同理,本机四个击球轮力度大也不是为了开满直接打出去很远,而是为了只有一只击球轮击球的时候会有较强的旋转。 想通这段话就会调节了:上下击球轮转动的差速决定球的旋转,叠加的转速决定射程。上下轮差速大则旋转强但射程短,上下轮都高速转,射程远但旋转弱。 当击球轮转速过低时,出球的落点前后偏差会加大。

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

数电课设-乒乓球游戏机

《数字电子技术基础》课程设计说明书题目:乒乓球游戏机 学生姓名: 学号: 院(系):理学院 专业:信息与计算科学 指导教师:陈敏歌 2012 年 5 月 19 日

目录 1﹑设计题目 (1) 2、设计任务 (1) 2.1选题意义 (1) 2.2设计目标 (1) 2.3设计要求 (1) 3、程序设计与实现 (1) 3.1电路结构 (1) 3.2球台电路设计 (2) 3.3驱动控制电路设计 (3) 3.4计分电路设计 (4) 3.5总电路的设计 (5) 4、设计难点与解决方法 (6) 5、设计不足之处 (6) 6、收获与体会 (7) 文献参考 (8) 附录1:元器件清单﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍9

1.设计题目 乒乓球游戏机 2.设计任务 2.1选题背景 乒乓球游戏机通过十分巧妙的设计采用数字芯片实现乒乓球左右移动、选手击球、得分、累计得分超10报警等功能。该设计三个双向开关J1、J2、J3分别作为裁判和游戏者A、B,且选手可以从译码显示器上直接读出自己的得分,具有操作简单、结构清晰的优点。 2.2设计目标 该乒乓球游戏机电路主要有3块电路:球台驱动电路、控制电路和计分电路组成。其中球台驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球、裁判对系统初始化的功能;积分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛计分的功能。 2.3设计要求 1).用8个发光二极管表示球,用两个按钮分别表示AB两个球员的球拍; 2).一方发球后,球一固定的速度向另一方运动(发光二极管依次点亮),当球达到最后一个二极管时,对方击球(按下按钮)球向相反的反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规双方各加1分; 3).A、B各有一个数码管计分; 4).裁判有一个按钮,用来对系统初始化,每次得分后按下一次。 3.电路设计与实现 3.1电路结构 根据设计要求,该电路须设计3块短路完成球台驱动、控制和计分功能。当裁判按下启动按钮时,游戏机电路开始运作。系统以CP信号作为球台驱动电路和计数器计分的时钟信号,以8个二极管的依次被点亮代表球的移动位置,双向选择开关J2、J3控制发球、击球信号。电路设计原理图如下图1:

乒乓球比赛游戏机设计

目录 1 引言 (1) 1.1设计背景 (1) 1.2VHDL简介 (1) 1.3Q UARTUSⅡ简介 (3) 2 乒乓球比赛游戏机的设计 (3) 2.1系统设计要求 (3) 2.2设计思路 (4) 3 乒乓球比赛游戏机的实现 (6) 3.1乒乓球比赛游戏机的顶层原理图 (6) 3.2系统各功能模块的实现 (6) 3.2.1 比赛控制模块 (6) 3.2.2 记分模块 (7) 3.2.3 数码管显示模块 (8) 4 各个模块的仿真以及系统仿真、分析 (9) 4.1比赛控制模块仿真波形 (9) 4.2记分模块仿真波形图 (9) 4.3管脚锁定 (10) 4.4系统的波形仿真 (11) 4.5显示结果的几种情况 (14) 5 总结 (15) 5.1设计制作过程中遇到的问题及解决方案 (15) 5.2本设计有以下几个可以改进的地方 (15) 参考文献 (16) 附录 (17) 游戏控制模块的VHDL程序 (17) 记分模块的VHDL程序 (19) 动态扫描模块的VHDL程序 (20) 译码器模块的VHDL程序 (21)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

基于FPGA的乒乓球游戏机设计

基于FPGA的乒乓球游戏 机设计

目录 内容摘要 (1) 关键词 (1) Abstract. (1) Key words (1) 1引言 (2) 2 开发工具简介 (2) 2.1硬件描述语言—VHDL概述 (3) 2.1.1VHDL语言的特点 (3) 2.1.2基于VHDL的自顶向下设计 (4) 2.2 Quartus II开发软件概述 (5) 2.3本章小结 (6) 3基于FPGA的乒乓球游戏机设计与实现 (6) 3.1总体设计 (6) 3.2电路总原理图 (7) 3.3时钟分频设计 (9) 3.4状态机设计 (9) 3.4.1状态机的7种状态及状态转移 (9) 3.4.2状态机/球台控制程序 (10) 3.5记分牌显示电路设计 (13) 3.6按键消抖、乒乓球路径显示电路设计 (14) 3.7顶层实体的描述 (15) 3.8本章小结 (16) 4系统的调试、仿真、下载、测试 (16) 4.1 调试FPGA (16) 4.2系统的编译、仿真 (16) 4.2.1系统编译 (16) 4.2.2系统仿真 (18) 4.3系统的适配、编程下载 (19) 4.4本章小结 (21)

5结论 (21) 参考文献 (22) 致谢 (23) 附件1:硬件实物图 (24) 附件2:程序清单 (25)

内容摘要:随着电子设计自动化技术的不断发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出。本文设计了基于FPGA的乒乓球游戏机设计。用VHDL语言编程, VHDL是甚高速集成电路硬件描述语言。目前,VHDL已成为许多设计自动化工具普遍采用的标准化硬件描述语言。VHDL语言功能性强,覆盖面广,灵活性高,具有很好的实用性。本文详细的简述了乒乓球游戏机的工作原理,此乒乓球游戏机由状态机、记分器、译码显示器与按键去抖等部分所组成。通过对各部分编写VHDL程序,在QuartusⅡ软件上进行编译、仿真,最终在Altera公司的EP开发板上成功实现下载和调试。经过仿真和验证的结果表明,实现乒乓游戏机的基本功能,结果达到了设计的要求。 关键词:FPGA VHDL 乒乓游戏机状态机 Abstract:As electronic design automation technology continues to develop and expand the field of application, EDA technology in electronic information, communication, increasing the importance of the field of automatic control and computer applications. This design of the table tennis game design based on FPGA . Programming in VHDL language, VHDL is the very high speed integrated circuit hardware description language . At present, the VHDL design automation tool widely used by many standardized hardware description language. VHDL language feature is strong, wide coverage, high flexibility, good practicality. This article gave a detailed summary of table tennis video game works, the table tennis game by the state machine, a device, decoding machine parts such as monitor and press the key to shaking up. The sections written by VHDL program, compiled in the Quartus II software, simulation, final EP of Altera Corporation successfully downloaded and debugged on the Development Board. After simulation and verification of the results shows that achieving basic functionality of ping pong game, the result meets the design requirements. Key words:FPGA VHDL Table tennis game machine State machine

相关主题