搜档网
当前位置:搜档网 › 计算机组成原理

计算机组成原理

计算机组成原理
计算机组成原理

题型:

一、填空题(每空2分,共20分)

1.为了能实现按地址访问的方式,主存中必须配置两个寄存器

MAR和MDR。其中MAR是存储器地址寄存器寄存器用来存放欲访问的存储单元的地址,MDR是存储器数据寄存器。

2.计算机的更新换代,主要集中体现在组成计算机的基本电路

的电子元件上。

3.总线按连接部件的不同,可以分为片内总线、系统总线和通

信总线。其中,系统总线是指CPU、主存、I/O设备各大部件之间的信息传输线。

4.系统总线按传输信息的不同,可以分为:数据总线、控制总

线、地址总线三类。

5.总线通信控制有四种方式,分别为:同步通信、异步通信、

半同步通信、分离式通信。

6.完成一次总线操作的时间称为总线周期,可分为申请分配阶

段、寻址阶段、传数阶段、结束阶段四个阶段。

7.异步通信的应答方式可分为不互锁、半互锁和全互锁三种类

8.在存储系统层次结构中,缓存-主存层次主要解决的问题是

CPU和主存速度不匹配的问题。主存-辅存层次主要解决的

问题是存储系统的容量问题。

9.动态RAM中,刷新是按行进行的。刷新方式有三种

方式,即:集中刷新、分散刷新和异步刷新。

10.存储容量的扩展方法中,字扩展是指增加存储

器字的数量,位扩展是指增加存储字长。

11.由主存地址映射到Cache地址称为地址映射。地址映射的

方法主要有直接映射、全相联映射、组相联映射。

12.一般中断服务程序的流程分四大部分:保护现场、中断服

务、恢复现场和中断返回。

13.把符号“数字化”的数称为机器数。机器数主要有原码、

反码、补码和移码。

14.已知[x]补=1.1001,则[x]原= 1.0111 。已知[x]补

=0,1111,则[x]原= 0.1111 。

15.指令寻址分为顺序寻址和跳跃寻址两种。

16.指令是由操作码和地址码两部分组成的。

1.电子计算机的算术逻辑单元、控制单元及主存储器合称为

C 。

A.CPU B.ALU C.主机 D.ACC

2.用以指定待执行指令所在地址的是 C 。

A.指令寄存器 B.数据计数器 C.程序计数器 D.累加器

3.存放欲执行指令的寄存器是 D 。

A.MAR B.PC C.MDR D.IR

4.“容量为640K存储器”是指 D 。

A.640×103字节的存储器 B.640×103位的存储器C.640×210位的存储器 D.640×210字节的存储器5.微型计算机的发展通常以 D 为技术标志。

A.操作系统B.磁盘 C.软件D.微处理器

6.邮局对信件进行自动分拣,使用的计算机技术是

C 。

A.机器翻译 B.自然语言理解

C.模式识别 D.网络通信

7.“总线忙”信号的建立者是 a 。

A.获得总线控制权的设备

B.发出“总线请求”信号的设备

C.总线控制器

D.CPU

8.总线的异步通信方式 A 。

A.不采用时钟信号,只采用握手信号

B.采用时钟信号,不采用握手信号

C.既不采用时钟信号,又不采用握手信号

D.既采用时钟信号,又采用握手信号

9.在计数器定时查询方式下,若每次计数从上一次计数的终止

点开始,则 B 。

A.设备号小的优先级高

B.每个设备使用总线的机会相等

C.设备号大的优先级高

D.不能确定优先级的高低

10.在独立请求方式下,若有N个设备,则 B 。

A.有一个总线请求信号和一个总线响应信号

B.有N个总线请求信号和N个总线响应信号

C.有一个总线请求信号和N个总线响应信号

D.只有一条总线请求信号

11.总线的半同步通信方式 A 。

A.不采用时钟信号,只采用握手信号

B.采用时钟信号,不采用握手信号

C.既采用时钟信号,又采用握手信号

D.既不采用时钟信号,又不采用握手信号

12.在各种异步通信方式中,速度最快的是 C 。

A.全互锁 B.半互锁

C.不互锁 D.不能确定

13.所谓三种线结构的计算机是指 B 。

A.地址线、数据线和控制线三组传输线

B.I/O总线、主存总线和DMA总线三组传输线

C.I/O总线、主存总线和系统总线三组传输线

D.I/O总线、数据线和控制线三组传输线

14.在存储器芯片中,地址译码采用双译码方式(重合法)是

为了 D 。

A.扩大寻址范围B.减少存储单元数目

C.增加存储单元数目D.减少存储单元选通线数目15.在链式查询方式下,若有N个设备,则 C 。

A.有N条总线请求线

B.有N/2条总线请求线

C.只有一条总线请求线

D.无法确定有几条总线请求线

16.以下器件中存取速度最快的是 C 。

A.Cache B.主存C.寄存器 D.磁盘

17.在存储器分层体系结构中,存储器从速度最快到最慢的排

列顺序是 D 。

A.寄存器-主存-Cache-辅存

B.寄存器-主存-辅存-Cache

C.寄存器-Cache-辅存-主存

D.寄存器-Cache-主存-辅存

18.静态半导体存储器SRAM指 C 。

A.在工作过程中,存储内容保持不变

B.在断电后信息仍能维持不变

C.不需要动态刷新

D.芯片内部有自动刷新逻辑

19.某SRAM芯片,其存储容量为16K×32位,其地址线和数

据线的总数和是 D 。

A.32 B.48

C.18 D.46

20.某SRAM芯片,其存储容量为512×8位,包括电源端和接

地线,该芯片引出线的数目应该为 D 。

A.23 B.25 C.50 D.19

21.CPU响应中断的时间是 A 。

A.一条指令执行结束

B.外设提出中断

C.取指周期结束

D.指令执行过程中

22.某机器字长8位,采用补码形式(其中1位为符号位),

则机器数所能表示的范围是 B 。

A.-127~+127 B.-128~+127

C.-127~+128 D.-128~+128

23.十进制数20,转换成机器字长为8的二进制补码时,下列

表示形式正确的是 B 。

A.10100

B.0,0010100,

C.0,1010000

D.0,1101100

24.两个十六进制数95和33相加,得 C 。A.(128)16B.(D8)16

C.(C8)16 D.以上都不对

25.在浮点机中,判断补码规格化形式的原则是 C 。

A.尾数的第一数位为1,数符任意

B.尾数的符号位与第一数位相同

C.尾数的符号位与第一数位不同

D.阶符与数符不同

26.下列数中最小的数为 D 。

A.(52)O B.(101001)B

C.(2A)H D.(40)D

27.设机器数字长8位(含1位符号位),若机器数BAH为原

码,则算术左移一位得 B 。

A.B4H B.F4H

C.BAH D.B5H

28.在原码加减交替除法中,余数大于0,上商“1”,下一步

做的运算是 D 。

A.加上除数

B.加上除数的绝对值

C.减去除数

D.减去除数的绝对值

29.在浮点机中,下列说法下确的是 D 。

A.尾数的第一数位为1时,即为规格化形式

B.尾数的第一数位与数符不同时,即为规格化形式

C.尾数的第一数位为0时,即为规格化形式

D.不同的机器数有不同的规格化形式

30.指令周期是 C 。

A.CPU执行一条指令的时间

B.CPU从主存取出一条指令的时间

C.CPU从主存取出一条指令加上执行这条指令的时间

D.不能确定

1.计算机由运算器、控制器、存储器、输入设备、输出设备五

大部件组成,简述它们的作用。

运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。

存储器用来存放数据和程序。

控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。

输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

输出设备可将机器运算结果转换为人们熟悉的信息形式。

2.冯·诺依曼计算机的特点是什么?

1)计算机由运算器,存储器,控制器,输入设备和输出设备五大部件组成、

2)指令和数据以同等地位存放与存储器内,并可按地址寻访。3)指令和数据均采用二进制

4)指令由操作吗和地址码组成

5)指令在存储器内按顺序存放

6)机器以运算器为中心。

3.常见的集中式总线控制有几种,各有何特点。

1)链式查询

这种方式的特点是:只需很少几根线就能按一定有限次序实现总线控制,并且绘本容易扩充设备,但对电路故障很敏感,且优先级别低的设备可能很难获得请求

2)计数器定时查询

这种方式对电路故障不如链式查询方式敏感,但增加了控制线(设备地址)数,控制也较复杂。

3)独立请求方式

响应速度快,优先次序控制灵活(通过程序改变),但控制线数量多,总线控制更复杂。

4.总线通信控制主要解决什么问题?它有哪四种方式?

总线通信控制主要解决通信双方如何获知传输开始和传输结束,以及通信双方如何协调如何配合。通常用四种方式:同步通信、异步通信、半同步通信和分离式通信。

5.一次中断处理过程可以归纳为五步,分别是什么?

可将一次中断处理过程简单地归纳为中断请求、中断判优、中断响应、中断服务和中断返回五个阶段。

6.DMA(直接存储器存取)有何特点?

1)DMA方式靠硬件传送。

2)DMA方式可在指令周期内的任一存取周期结束时响应。

3)DMA没有处理异常事件的能力

4)DMA方式不中断现行程序,无须保护现场。

5)DMA的优先级较高

1.在异步串行传送系统中,字符格式为:1个起始位、7个数

据位、1个校验位、2个终止位。若要求每秒传送150个字符,求传送的波特率和比特率。

2.设总线的时钟频率为8MHz,一个总线周期等于一个时钟周

期。如果一个总线周期中并行传送32位数据,试问总线的带宽是多少?

3.设主存容量为2M×16位,Cache容量为8K×16位,块长为

8个16位的字,访存地址为字地址。试问主存地址为几位,Cache有多少块,并画出直接映射方式下,主存的地址格式。

4.设A = 0.1011,B = – 0.0101,求 [A + B]补,并说明有

无溢出。

5.设主存容量为512K×16位,Cache容量为4K×16位,块长

为4个16位的字,访存地址为字地址。试问主存和Cache 的地址各为几位,并画出直接映射方式下,主存的地址格式。

6.设 x = – 0.1110,y = 0.1101,用原码一位乘计算求[x ?

y]原。

假设用lK×8位/片的存储芯片构成4K×8位的存储器,CPU 引出的地址线为A15(高)~A0(低)。

(1)需要几片这种存储芯片?

(2)存储器共需要几位地址?是哪几位地址线?

(3)加至各芯片的地址线是哪几位?

(4)用于产生片选信号的地址线是哪几位?

假设用2K×8位/片的存储芯片构成16KB的存储器,CPU引

出的地址线为A15(高)~A0(低)。

(1)需要几片这种存储芯片?

(2)存储器共需要几位地址?是哪几位地址线?(3)加至各芯片的地址线是哪几位?

(4)用于产生片选信号的地址线是哪几位?

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理(新)

《计算机组成原理》模拟题 一.单选题 1.在多级存储体系中,”cache—主存”结构的作用是解决()的问题. A.主存容量不足 B.主存与辅存速度不匹配 C.辅存与CPU速度不匹配 D.主存与CPU速度不匹配 [答案]:D 2.用32位字长(其中1位符号位)表示定点小数是,所能表示的数值范围是(). A.[0,1-2-32] B.[0,1-2-31] C.[0,1-2-30] D.[0,1] [答案]:B 3.某计算机字长16位,它的存贮容量是64KB,若按字编址,那么它的寻址范围是(). A.0-64K B.0-32K C.0-64KB D.0-32K [答案]:B 4.50年代,为了发挥()的效率,提出了()技术,从而发展了操作系统,通过它对()进行管理和调度. A.计算机,操作系统,计算机 B.计算,并行,算法 C.硬件设备,多道程序,硬软资源 D.硬件设备,晶体管,计算机 [答案]:C 5.某SRAM芯片,存储容量为64x16位,该芯片的地址线和数据线数目为(). A.64,16 B.16,64 C.64,8 D.16,16 [答案]:D 6.用64位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是(). A.[0,264-1] B.[0,263-1] C.[0,262-1] D.[0,263] [答案]:B

7.CD—ROM光盘是()型光盘,可用做计算机的()存储器和数字化多媒体设备. A.重写,内 B.只读,外 C.一次,外 D.多次,内 [答案]:B 8.CPU主要包括(). A.控制器 B.控制器.运算器.cache C.运算器和主存 D.控制器.ALU和主存 [答案]:B 9.EPROM是指(). A.读写存储器 B.只读存储器 C.闪速存储器 D.光擦除可编程只读存储器 [答案]:D 10.描述Futurebus+总线中基本概念不正确的句子是(). A.Futurebus+总线是一个高性能的同步总线标准 B.基本上是一个异步数据定时协议 C.它是一个与结构.处理器.技术有关的开发标准 D.数据线的规模在32位.64位.128位.256位中动态可变 [答案]:A 11.描述PCI总线中基本概念不正确的句子是(). A.HOST总线不仅连接主存,还可以连接多个CPU B.PCI总线体系中有三种桥,它们都是PCI设备 C.从桥连接实现的PCI总线结构不允许许多条总线并行工作 D.桥的作用可使所有的存取都按CPU的需要出现在总线上 [答案]:C 12.在某CPU中,设立了一条等待(WAIT)信号线,CPU在存储器周期中T的φ的下降沿采样WAIT线,请在下面的叙述中选出正确描述的句子:(). A.如WAIT线为高电平,则在T2周期后不进入T3周期,而插入一个TW周期 B.TW周期结束后,不管WAIT线状态如何,一定转入了T3周期 C.TW周期结束后,只要WAIT线为低,则继续插入一个TW周期,直到WAIT线变高,才转入T3周期 D.有了WAIT线,就可使CPU与任何速度的存贮器相连接,保证CPU与存贮器连接时的时序配合

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理-4.pdf

第 1 章 计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ● 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ● 指令和数据以同同等地位存放于存储器内,并可以按地址访问; ● 指令和数据均用二进制表示; ● 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ● 指令在存储器中顺序存放,通常自动顺序取出执行; ● 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU 、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、 机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由 CPU 和主存储器 MM 合成为主机。 CPU :中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和 控制器不在同一芯片上,现在的 CPU 内除含有运算器和控制器外还集成了 CACHE )。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随 机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元 或存储元,不能单独存取。 存储字:一个存储单元所存二进 制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指 CPU 一次能处理的二进制数据的位数,通常与 CPU 的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU 、PC 、IR 、CU 、ALU 、ACC 、MQ 、X 、MAR 、MDR 、I/O 、MIPS 、CPI 、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU :Central Processing Unit ,中央处理机(器),是计算机硬件的核心部件,主要由运 算器和控制器组成。 PC :Program Counter ,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理(本科生) (5)

本科生期末试卷(5) 一、选择题(每小题2分,共30分) 1某机字长64位,1位符号位,63位表示尾数,若用定点整数表示,则最大正整数位()。 A +(263-1) B +(264-1) C -(263-1) D -(264-1) 2请从下面浮点运算器中的描述中选出两个描述正确的句子()。 A 浮点运算器可用两个松散连接的定点运算部件一阶码和尾数部件来实现。 B 阶码部件可实现加,减,乘,除四种运算。 C 阶码部件只进行阶码相加,相减和比较操作。 D 尾数部件只进行乘法和除法运算。 3存储单元是指()。 A 存放1个二进制信息位的存储元 B 存放1个机器字的所有存储元集合 C 存放1个字节的所有存储元集合 D 存放2个字节的所有存储元集合 4某机字长32位,存储容量1MB,若按字编址,它的寻址范围是()。 A 0—1M B 0—512KB C 0—56K D 0—256KB 5用于对某个寄存器中操作数的寻址方式为()。 A 直接 B 间接 C 寄存器直接 D 寄存器间接 6程序控制类的指令功能是()。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU和I/O设备之间的数据传送

D 改变程序执行的顺序 7指令周期是指()。 A CPU从主存取出一条指令的时间 B CPU执行一条指令的时间 C CPU从主存取出一条指令加上执行一条指令的时间 D 时钟周期时间 8描述当代流行总线结构中基本概念不正确的句子是()。 A 当代流行的总线不是标准总线 B 当代总线结构中,CPU和它私有的cache一起作为一个模块与总线相连 C 系统中允许有一个这样的CPU模块 9 CRT的颜色为256色,则刷新存储器每个单元的字长是()。 A 256位 B 16位 C 8位 D 7位 10发生中断请求的条件是()。 A 一条指令执行结束 B 一次I/O操作结束 C 机器内部发生故障 D 一次DMA操作结束 11中断向量地址是()。 A 子程序入口地址 B 中断服务程序入口地址 C 中断服务程序入口地址指示器 D 例行程序入口地址 12 IEEE1394所以能实现数据传送的实时性,是因为()。 A 除异步传送外,还提供同步传送方式

67计算机组成原理4

67计算机组成原理4 一、单选题(共15题,共15分) 1. I/O编址方式通常可分统一编址和独立编址,。(1分) A.统一编址是将I/O地址看作是存储器地址的一部分,可用专门的I/O指令对设备进行访问 B.独立编址是指I/O地址和存储器地址是分开的,所以对I/O访问必须有专门的I/O指令 C.统一编址是指I/O地址和存储器地址是分开的,所以可用访存指令实现CPU对设备的访问 D.独立编址是将I/O地址看作是存储器地址的一部分,所以对I/O访问必须有专门的I/O指令 2. 64.微程序控制器中,微程序的入口地址是由形成的。(1分) A.机器指令的地址码字段 B.微指令的微地址码字段 C.机器指令的操作码字段 D.微指令的微操作码字段 3. 50.ROM与RAM的主要区别是_____。(1分) A.断电后,ROM内保存的信息会丢失,RAM则可长期保存而不会丢失 B.断电后,RAM内保存的信息会丢失,ROM则可长期保存而不会丢失 C.ROM是辅助存储器,RAM是主存储器 D.ROM是主存储器,RAM是辅助存储器 4. 中央处理器(CPU)是指。(1分) A.运算器 B.控制器 C.运算器和控制器 D.运算器和存储器 5. 57.下列说法中是正确的。(1分) A.指令周期等于机器周期 B.指令周期小于机器周期 C.指令周期大于机器周期 D.指令周期是机器周期的两倍 6. 61.设计微程序的人员是。(1分) A.硬件设计人员 B.系统软件人员 C.应用软件人员 D.用户 7. 在存储器堆栈中,保持不变的是。(1分) A.栈顶 B.栈指针 C.栈底 D.栈中的数据 8. 56.微操作信号发生器的作用是。(1分) A.从主存中取出指令 B.完成指令操作码的分析功能 C.产生控制时序 D.产生各种微操作控制信号 9. 73.在DMA传送方式中,由发出DMA请求。(1分) A.外部设备 B.DMA控制器 C.CPU D.主存 10. 68.主机与设备传送数据时,采用,主机与设备是串行工作的。(1分) A.程序查询方式 B.中断方式 C.DMA方式 D.通道方式

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理5

CPU 组成与机器指令执行实验 一、实验目的 (1)将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机;(2)用微程序控制器控制模型机数据通路; (3)通过CPU 运行九条机器指令(排除中断指令)组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验用到前面四个实验中的所有电路,包括运算器、存储器、通用寄存器堆、程序计数器、指令寄存器、微程序控制器等,将几个模块组合成为一台简单计算机。因此,在基本实验中,这是最复杂的一个实验,也是最能得到收获的一个实验。在前面的实验中,实验者本身作为“控制器”,完成数据通路的控制。而在本次实验中,数据通路的控制将由微程序控制器来完成。CPU 从内存取出一条机器指令到执行指令结束的一个机器指令周期,是由微指令组成的序列来完成的,即一条机器指令对应一个微程序。 三、实验要求 (1)对机器指令系统组成的简单程序进行译码。 将下表的程序按指令格式手工汇编成十六进制机器代码,此项任务应在预习时完成。完成表1. (2)按照下面框图,参考前面实验的电路图完成连线,控制器是控制部件,数据通路(包括上面各模块)是执行部件,时序产生器是时序部件。连线包括控制台、时序部分、数据通路和微程序控制器之间的连接。其中,为把操作数传送给通用寄存器组 RF,数据通路上的RS1、RS0、RD1、RD0 应分别与IR3 至IR0 连接,WR1、WR0 也应接到IR1、IR0 上。 开关控制 控制台时序发生器 时序信号 开关控制指示灯信号控制信号时序信号 控制信号 微程序控制器数据通路 指令代码、条件信号 图13 模型计算机连线示意图 (3)将上述任务(1)中的程序机器代码用控制台操作存入内存中,并根据程序的需要,用数码开关SW7—SW0 设置通用寄存器R2、R3 及内存相关单元的数据。注意:由于设置通用寄存器时会破坏内存单元的数据,因此一般应先设置寄存器的数据,再设置内存数据。 (4)用单拍(DP)方式执行一遍程序,列表记录通用寄存器堆RF 中四个寄存器的数据,以及由STA 指令存入RAM 中的数据(程序结束后从RAM 的相应单元中读出),与理论分析值作对比。单拍方式执行时注意观察微地址指示灯、IR/DBUS 指示灯、AR2/AR1 指示灯和判断字段指示灯的值,以跟踪程序中取指令和执行指令的详细过程(可观察到每一条微指令)。 (5)以单指(DZ)方式重新执行程序一遍,注意观察 IR/DBUS 指示灯、AR2/AR1

最新计算机组成原理作业讲解1-4章-答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼V on Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理——第四章测试题

1、采用规格化的浮点数是为了(D) A、增加数据的表示范围 B、方便浮点运算 C、防止运算时数据溢出 D、增加数据的表示精度 2、以下(D)表示法主要用来表示浮点数中的阶码 A、原码 B、补码 C、反码 D、移码 3、浮点加减运算中的对阶指(A) A、将较小的一个阶码调整到与较大的一个阶码相同 B、将较大的一个阶码调整到与较小的一个阶码相同 C、将被加数的阶码调整到与加数的阶码相同 D、将加数的阶码调整到与被加数的阶码相同 4、假定采用IEEE 754单精度浮点数格式表示一个数,则该数为(B) A、(+1.125)10×210 B、(+1.125)10×211 C、(+0.125)10×211 D、(+0.125)10×210 5、如果浮点数的尾数用补码表示,则下列(D)中的尾数是规格化形式 A、1.11000 B、0.01110 C、0.01010 D、1.00010 6、float型数据通常用IEEE 754单精度浮点数格式表示,若编译器将float型变量x分配在一个32位浮点寄存器FR1中,且x = -8.25,则FR1的内容是(A) A、C104 0000H B、C242 0000H C、C184 0000H D、C1C2 0000H 7、运算器虽由许多部件组成,但核心部件是(A) A、算术逻辑运算单元ALU B、多路开关 C、数据总线 D、累加寄存器ACC 8、使用74LS181这种器件来构成一个16位的ALU,需要使用(B)片。 A、2 B、4 C、8 D、16 9、用4片74181和1片74182相配合,具有(D)传递功能 A、串行进位 B、组内并行进位,组间串行进位 C、组内串行进位,组间并行进位 D、组内、组间均为并行进位 10、和外存储器相比,内存储器的特点是(C) A、容量大、速度快、成本低 B、容量大、速度慢、成本高 C、容量小、速度快、成本高 D、容量小、速度快、成本低 11、磁盘属于(D)类型的存储器 A、随机存取存储器 B、只读存储器 C、顺序存取存储器 D、直接存取存储器 12、某计算机系统,其操作系统保存在硬盘上,其内存储器应该采用(C) A、RAM B、ROM C、RAM和ROM D、都不对 13、计算机的存储系统是指(D) A、RAM B、ROM C、主存储器 D、Cache、主存储器和外存储器 14、一般存储系统由三级组成,下列关于各级存储器的作用及速度、容量的叙述中正确的是(C) A、主存存放正在CPU中运行的程序,速度较快,容量很大 B、Cache存放当前所有频繁访问的数据,特点是速度最快、容量较小 C、外存存放需联机保存但暂时不执行的程序和数据,容量很大且速度很慢 D、外存存放需联机保存但暂时不执行的程序和数据,容量很大且速度很快 15、以下器件中存取速度最快的是(C)

相关主题