搜档网
当前位置:搜档网 › 数字频率计的设计开题报告

数字频率计的设计开题报告

数字频率计的设计开题报告
数字频率计的设计开题报告

毕业设计(论文)开题报告

题目名称数字频率计的设计

学生姓名熊作俊专业电子信息工程班级0934091一、选题的目的意义

数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成,计算机及各种数字仪表中,都得到了广泛的应用。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得尤为重要。测量频率的方法有多种,其中电子计数器测量频率具有使用方便、测量迅速,以及便于实现测量过程自动等优点,是频率测量的重要手段之一。本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点。

二、国内外研究综述

随着科学技术的发展,用户对电子计数器也提出了新的要求。对于抵挡产品要求使用操作方便,量程宽,可靠性能高,低价格。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。

由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率范围不断扩大,功能不断地增加。在测试通讯、微波器件或产品时,通常都是较复杂的信号,如含有复杂频率成分、调制的或含有未知频率分量的、频率固定的或变化的、纯净的或叠加有干扰的等等。为了能正确地测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。

数字电路制造工业的进步,使得系统设计人员能在更小的空间实现更多的功能,从而提高系统可靠性和速度。现如今,数字频率计已经不仅仅是测量信号频率的装置了,用它还可以测量方波脉冲的脉宽。在人们的生活中频率计也发挥着越来越重要的作用,比如用数字频率计来监控生产过程,这样可以及时发现系统运行中的异常情况,以便给人们争取时间处理。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号,如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计的应用前景非常广泛。

三、毕业设计(论文)所用的方法

本方案主要以单片机为核心,将被测信号先进入信号放大电路进行放大,再被送到波形整形电路整形,把被测得波形转化为方波。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。

硬件部分主要由信号输入和放大整形模块、单片机控制模块、分频模块及显示电路模块组成。AT89C52单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。利用它内部的定时/计数器完成待测信号频率的测量。在整个设计过程中,所制作的频率计采用外部分频,实现10Hz~10KHz的频率测量,而且可以实现量程自动切换流程。以AT89C52单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率的测量。其待测频率值使用四位共阴极数码管显示,并可以自动切换量程,单位分别由3个发光二极管指示。

在软件设计中利用C语言进行编程,并借助Proteus和Keil软件,进行仿真,对设计原理、检测方法进行验证。最后做出实物并测量正弦波和方波的频率,验证设计是否达到要求。

四、主要参考文献与资料获得情况

[1] 杨恢先,黄辉先.单片机原理及应用.北京:人民邮电出版社,2006.

[2] 李群芳.单片微型计算机与接口技术(第二版).北京:电子工业出版社,2005.

[3] 李朝青.单片机原理及接口技术 .北京:北京航空航天大学出版社,2005.

[4] 蒋立培.单片机系统使用教程.北京:机械工业出版社,2004.

[5] 王琼.单片机原理及应用实践教程.合肥:合肥工业大学出版社,2008.

[6] 张靖武.单片机系统的PROTEUS设计与仿真北京:电子工业出版社,2008.

[7] 刘鲲,孙春亮.单片机C语言入门.北京:人民邮电出版社,2008.

[8] 马忠梅,刘滨.单片机C语言Windows环境编程宝典.北京:北京航空航天大学出版社,2003.

五、指导教师审批意见

年月日

开题报告填写要求

1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见审查后生效。

2.开题报告内容必须用蓝黑墨水笔工整书写,按系统一设计的电子文档标准格式打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见。

3.学生查阅资料的参考文献应在3篇及以上,开题报告的字数要在1000字以上。

4.有关年月日等日期的填写,应当按照国标GB/T 7408—94《数据元和交换格式、信息交换、日期和时间表示法》规定的要求,一律用阿拉伯数字书写。如“2004年9月26日”或“2004-09-26”。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

数字频率计开题报告

本科学生毕业设计(论文) 开题报告 系(分院):************** 课题名称:数字频率计的设计 专业:电子信息工程 班级:电信******* 学生姓名:************ 指导教师:李宇春 日期:2012年12月23日

一、毕业设计(论文)选题的目的和意义: 1:毕业设计(论文)题目名称;数字频率计的设计 2:毕业设计(论文)有关的研究方向的历史、现状和发展情况分析 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是电子系统的心脏,是决定电子系统性能的关键设备,随着现代通信、卫星、雷达和电子对抗等系统的发展对数字频率计提出了越来越高的要求。 数字频率计的主要实现方法有直接式、锁相式、直接数字式三种。 直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。 锁相式的优点是相位同步自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。 直接数字式的优点电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。 随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。 数字频率计可用纯硬件实现法(可选的器件有通用的SSI/MSI/LSI集成电路、专用集成电路、可编程逻辑器件等);也可用纯软件实现法(可选的平台有PC机、单片机、 DSP器件等);一般考虑用软硬件相结合的实现法,但是实现的频率精度可能没有纯硬件实现的精确高,所以考虑用纯硬件来实现。 3:前人在本选题研究领域中的工作成果简述 基于VHDL语言设计数字频率计:数字频率计是数字电路中的一个典型应用,随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。用VHDL在CPLD 器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。 基于高速串行BCD码除法的数字频率计:采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA 芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。 4:本毕业设计(论文)研究的主要内容和重点 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,

简易数字频率计课程设计报告书

一、课题名称与技术要求 <1>名称:简易数字频率计 <2>主要技术指标和要求: 1. 被测信号的频率围100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要 以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M法) 对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。TG为标准闸门宽度,N1是计数器计出的脉冲个数,

设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。但是,增大TG会使频率测量的响应时间长。当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f 由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此,M法适合于对高频信号的测量,频率越高,测量精度也越高。 测频法原理图 2、测周法(T法) 首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一个信号周期T的方波信号;然后用一个已知周期的高频方波信号作为计数脉冲,在一个信号周期T的时间对此高频信号进行计数。若在T时间的计数值为N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2 N2的绝对误差为△N=±1 N2的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从T2的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你标准计数信号的频率成反比。当fosc为常数时,被测信号频率越低,误差越小,测量精度也就越高。

毕业设计数字频率计的设计论文

数字频率计的设计 摘要:本论文是一种直接用十进制数字来显示被测信号频率的测量装置。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。该频率计是首先将被测信号变成脉冲信号,其重复频率等于被测频率。时钟电路提供标准的时间脉冲信号。闸门电路由标准秒信号进行控制,当闸门信号为高电平时,闸门开通,被测信号的脉冲通过闸门送入计数显示电路进行显示;当闸门信号为低电平时,闸门关断,计数器没有时钟脉冲输出,计数器停止计数。 关键词:频率显示闸门秒信号 引言 随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量。调节收音机上的频率刻度盘可以使我们选听到自己所喜欢的电台节目;调节电视机上的微调旋钮可使电视机对准电视台的广播频率,获得图像清晰的收看效果,这些已成为人们的生活常识。 人们在日常生活、工作中更离不开计时。学校何时上、下课?工厂几时上、下班等这些都涉及到计时。频率、时间的应用,在当代高科技中显得尤为重要。例如,邮电通讯,大地测量,地震预报等等,都与频率、时间密切相关,只是其精密度和准确度比人们日常生活中的要求高得多罢了。 本次设计主要采用计数法制成一个测量范围在0~9999Hz的频率计。该频率计闸门信号的采样时间为1s,并采用4位数码管显示。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。 一、数字频率计的组成 数字频率计电路主要由串联型稳压电源、整形电路、10分频电路、时钟电路、闸门形成及控制电路、计数显示电路等组成。

电路组成框图1-1如下: 待测信号整形电路10分频电路闸门形成及控制电路 串联型稳压电源时钟电路计数显示电路 电路组成框图1-1 二、设计所用集成电路简介 1.集成电路NE555概述 NE555是一种集模拟、数字于一体的中规模集成电路,它常应用于信号的产生与变化、电路的检测与控制。芯片采用双列直插式封装,有八个管脚。NE555引脚图2-1和功能如下 图2-1 引出端功能符号: TR: 置位控置制端,也称电平触发端 RD: 复位端,低电平有效 Q: 电路的输出端 CO: 电压控制端 TH: 复位控制端 DIS: 放电端 Vcc: 电源端 GND: 接地脚 2.集成电路CD4518概述 集成电路CD4518是一个双BCD码加法计数器。它有两个时钟输

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

电子数字频率计测量方法毕业论文

电子数字频率计测量方法毕业论文 1绪论 1.1研究背景及主要研究意义 频率是电子技术领域永恒的话题,电子技术领域离不开频率,一旦离开频率,电子技术的发展是不可想象的,为了得到性能更好的电子系统,科研人员在不断的研究频率,CPU就是用频率的高低来评价性能的好坏,可见,频率在电子系统中的重要性。 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器,其最基本的工作原理为:当被测信号在特定的时间段T的周期个数N时,则被测信号的频率f=N/T.电子计数器是一种基础测量仪器,到目前为止已有三十多年的发展历史。早期,设计师们追求的目标主要是扩展测量围,再加上提高测量精度、稳定度等,这些也是人们衡量电子计算机的技术水平,决定电子技术器价格高低的主要依据。目前这些技术日臻完善,成熟。应用现代技术可以轻松地将电子计数器的频率扩展到微波频段。 1.2数字频率计的发展现状 随着科学技术的发展,用户对电子计数器也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对中高档产品,则要求有较高的分辨率,高精度,高稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,统计分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正地实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率围不断扩大,功能不断增加。在测试通讯、微波器件或产品时,通常都市较复杂的信号,如含有复杂频率成分、调制的含有未知频率分量的、频率固定的变化的、纯净的或叠加有干扰的等等。为了能正确的测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。微波技术器一般使用类型频谱分析仪的分频或混频电路,另外还包含多个时间基准、合成器、中频放大器等。虽然所有的微波计数器都是用来完成技术任务的,但各自厂家都有各自的一套复

数字电路课程设计开题报告1

徐州工程学院数学与物理科学学院 数电课程设计报告 课题名称:智力竞赛抢答装置 专业班级: 09应物2 学号: 20090405212 姓名:陈玉丹 指导老师:张群 设计时间: 2011 12 29

目录 序言 (1) 摘要 (2) 一、设计背景 (3) 二、总体方案设计 (3) 三、单元电路图 (5) 四、主要器件及重要元件的功能介绍 (9) 五、总电路原理图 (10) 六、系统的安装与调试 (11) 七、元器件列表 (12) 八、心得体会 (13) 九、参考文献 (13) 十、评分标准 (14)

序言 课程设计是针对某一理论课程的要求,对我们进行综合性实践训练的实践学习环节,可以培养我们运用课程中所学的理论知识与时间紧密结合,独立地解决实际问题的能力。 本课程设计介绍的是单片机制作的4路智力抢答器的设计及制作,以电路的基本理论为基础,着重介绍电路的设计。培养学生应用所学专业理论知识,进行产品的实际设计与制作的能力,缩小理论与实践的差别,并学习电子产品的整个设计、分析与制作流程。 课程设计应达到如下基本要求: (1)综合运用数字电子技术基础课程中所学的理论知识独立完成一个抢答器课题的设计。 (2)通过查阅手册和参考文献资料,培养我们独立分析和解决实际问题的能力。 (3)熟悉常用电子元器件的类型和特性,并掌握合理选用的原则。 (4)掌握电子电路的安装和调试技能。 (5)熟悉的使用各类数字电子仪器。 (6)学会撰写课程设计论文。 (7)培养严肃认真的工作作风和严谨的科学态度。 什么样的人才会在社会工作中最受欢迎呢?当然是既有丰富的理论知识,又有足够的经验即有很强的操作能力,实践动手能力。 作为当代的大学生或许缺乏的也就正是这方面的能力,我们迫切需要培养的也是这些能力。怎样培养呢?当然是放到实践中去培养,在真正的动手中去培养。 为此,我们专业特组织了这次长达三个多星期的综合课程实习,让同学们在实践中学东西,我们只有这样从各方面武装自己,不断给自己充电,才能在以后的竞争中突出自己,展现自己! 1

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

简易数字频率计设计报告

简易数字频率计设计报告 目录 一.设计任务和要求 (2) 二.设计的方案的选择与论证 (2) 三.电路设计计算与分析 (4) 四.总结与心得..................................... 错误!未定义书签。2 五.附录........................................... 错误!未定义书签。3 六.参考文献....................................... 错误!未定义书签。8

一、 设计任务与要求 1.1位数:计4位十进制数。 1.2.量程 第一档 最小量程档,最大读数是9.999KHZ ,闸门信号的采样时间为1S. 第二档 最大读数是99.99KHZ ,闸门信号采样时间为0.1S. 第三档 最大读数是999.9KHZ ,闸门信号采样时间为10mS. 第四档 最大读数是9999KHZ ,闸门信号采样时间为1mS. 1.3 显示方式 (1)用七段LED 数码管显示读数,做到能显示稳定,不跳变。 (2)小数点的位置随量程的变更而自动移动 (3)为了便于读数,要求数据显示时间在0.5-5s 内连续可调 1.4具有自检功能。 1.5被测信号为方=方波信号 二、设计方案的选择与论证 2.1 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图 2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号 图2-2 频率测量算法对应的方框图 输入电路 闸门 计数电路 显示电路 闸门产生

整体方框图及原理 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告 选题意义及国内外发展状况 本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测 量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新 月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办 事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋 向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软 件来解决。因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。 单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千 种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗 器、卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种很重要

的应用, 价格低廉且具有实际意义。虽然使用逻辑分析仪也可以很好的测量信号 的频率等参数,但其价格太昂贵。实现测量的数字化、自动化、智能化已成为各 类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这 一设计理念。 说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。还有,PTS2600是英国研制的一款微波频率计,该频率计可以测量频率高达26GHz的信号,而价格才只有几万元,可谓是物美价廉。PTS2600虽然是一个低价格的微波频率计,但它能在四个波段有很好的灵敏度测量40Hz到20GHz的频率。也可以用它来测量高达26GHz的频率,只是灵敏度稍稍低了一些。日常工作中,用它来测量 VF/VHF/UHF频段的频率,也十分方便和准确。PTS2600使用一个12位数字的LCD 液晶显示屏来显示所测得的频率、闸口时间(分辨率相关)、菜单功能以及频率表的测量 结果。所有这些数值都是同时显示在一个屏幕上的。PTS2600的机箱采用高标准的铝质材料制成,各模块安装在下方有钢板支承的母板上。模块相对独立,维修

数字频率计实验报告

大连理工大学城市学院数字电路与系统课程设计设计题目:数字频率计 学院:电子与自动化学院 专业:自动化 学生:揣智涵 同组人:王晓宁周英茹 指导教师:于海霞 完成日期: 2012年3月26日

目录 第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 (要求分析得出整个系统流程图) 2.2系统模块分解及各模块功能的基本要求第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1 FEN模块 4.1.1 FEN模块流程图 4.1.2 输入输出引脚及其功能说明 4.1.3 程序代码实现 4.2 SEL模块 4.2.1 SEL模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3 CORNA模块 4.3.1 CORNA模块流程图 4.3.2 输入输出引脚及其功能说明 4.3.3 程序代码实现 4.4 LOCK模块 4.4.1 LOCK模块流程图 4.4.2 输入输出引脚及其功能说明 4.4.3 程序代码实现 4.5 CH模块 4.5.1 输入输出引脚及其功能说明 4.5.2 程序代码实现 4.6 DISP模块 4.6.1 输入输出引脚及其功能说明 4.6.2 程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 心得体会 参考文献

第一章设计任务 1.1 项目名称:数字频率计 1.2 项目设计说明 1.2.1 设计任务和要求 此频率计共分4档: 一档:0~9999Hz; 二档:10~99.99kHZ; 三档:100.0~999.9kHz;, 四档:1.000~999MHz; 在换挡的设计方面,此程序突破了以往改变闸门时间的方法,使自动换挡的实现更加简单可靠。 1.2.2 进度安排 第一节课:画出模块及程序流程图 第二节课:调试各模块程序使其无误 第三节课:连接整个程序并下载到试验箱是数字频率计的功能实现 第四节课:改进程序设计实现创新,然后完成课程设计报告 第五节课:完成答辩 1.3 项目总体功能模块图如下

相关主题