搜档网
当前位置:搜档网 › 三态输出门的测试

三态输出门的测试

三态输出门的测试
三态输出门的测试

实验报告四

20100810410 计科四班阚琛琛

【实验内容】

1.测试三态门的静态逻辑功能,比较有无负载时候的区别;

2.观察三态门在使能端有、无效时输入输出波形的变化;

3.测量三态门传输时的延迟时间;

4.测试三态门的电压传输特性曲线。

【实验环境】

74LS125;

示波器;

信号发生器;

实验箱;

万用表;

若干导线。

【实验电路】

电路说明:如图所示,引脚14接VCC,7接GND,使能端接十六位逻辑电平之一

1.在测试芯片静态逻辑功能时,输入分别接5V直流电压及地,在加上使能端

时输出接一个发光二级管;

2.在测试动态逻辑功能时,输入接实验箱上的CP连续脉冲,并将输入输出都

连接在示波器上;

3.在测试延迟时间时,输入接实验箱上的5MHZ的方波,再将输入输出接在示

波器上;

4.在测试传输特性曲线时,输入接信号发生器产生的三角波,再将输入输出都

连在示波器上显示。

【实验过程】

1.安插上74LS125芯片,按照上图说明连接电路,控制使能端有效与否,测得

能端输入有效时,为禁止状态,输出高阻态,接二极管时不发光。

2.调整使能端,分别产生波形如下:

使能无效:

使能有效:

则在使能无效时,输入出波形大致一致,当使能有效时,输出为一直线,即为高阻态。

3.调整电路,则输入输出波形为:

则在图上读出延迟时间为63.2us.

4.由信号发生器产生一个0-4.68V、1600HZ左右的三角波,调节示波器,显示

电压传输特性曲线如图:

则测得阀值电压为1.12V.

【实验总结】

1.在测三态门的静态逻辑功能时,要注意无负载时的连接方式,此时不能用导

线接上二极管,否则跟有负载时的结果是一样的;

2.在最后测动态传输特性曲线时,要注意三角波的大小和频率,并要保证一定

是直流耦合,否则实验结果出不来;

3.要注意用到实验前找到的资料,大致了解芯片的特性,及如何连接,并在实

验中运用。

【实验评价】

在实验中,由于输入输出有与信号发生器、示波器、直流电源及地相接,接头很多接在一起,所以信号会有一些干扰,导致波形会有一些误差。

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1?A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态

6.A 7.B 8.A 9. B b.时序电路必然存在状态循环 c.异步时序电路的响应速度要比同步时序电路的响应速度慢 d.边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk触发器),触发器当前状态Q3Q2Q1为“011”,请问时钟作用下,触发器下一状态为() a.“110”b.“100”c.“010”d.“000” 8、下列描述不正确的是() a.时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b.寄存器只能存储小量数据,存储器可存储大量数据。 c.主从JK触发器主触发器具有一次翻转性 d.上面描述至少有一个不正确 9.下列描述不正确的是() a.EEPROM具有数据长期保存的功能且比EPROM使用方便 b.集成二—十进制计数器和集成二进制计数器均可方便扩展。 c.将移位寄存器首尾相连可构成环形计数器 d.上面描述至少有一个不正确 二.判断题(10分) 1.TTL门电路在高电平输入时,其输入电流很小,74LS系列每个输入端的输入电流在40uA以下() 2.三态门输出为高阻时,其输出线上电压为高电平() 3.超前进位加法器比串行进位加法器速度慢() 4.译码器哪个输出信号有效取决于译码器的地址输入信号() 5.五进制计数器的有效状态为五个() 6.施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。() 7.当时序逻辑电路存在无效循环时该电路不能自启动() 8.RS触发器、JK触发器均具有状态翻转功能()

实验三集电极开路门和三态门优秀教案

实验二组合逻辑电路 一、实验目的 了解组合电路的设计方法;尝试用与非门组成简单组合电路。 二、实验原理 根据一定的逻辑功能设计出的逻辑电路,并不是唯一的,有繁有简。由于生产和使用与非门集成电路较多,所以,把一般函数式变换成只用与非门就能实现的函数式具有重要意义。这种函数式应包含逻辑乘及逻辑非运算,而且每个逻辑乘法之上必须有逻辑非运算(即与非-与非表达式。) 逻辑函数可以用真值表、逻辑表达式、卡诺图、逻辑图和波形图表示。它们之间有一定的换算规律。 三、实验仪器与器件: 1、数字实验箱一台; 2、集成电路与非门74LS00一块。 74LS00逻辑图 四、实验内容 (1)利用与非门组成与门电路;(2)利用与非门组成或门电路;(3)利用四个与非门组成异或门电路。 要求:(1)写出各个门电路的与非—与非表达式;

(2)画出逻辑电路,标出管脚; (3)自拟实验步骤,设计表格,测试电路的逻辑功能。 五、研究问题: 如何把与非门作为非门使用?

实验三集电极开路门和三态门 一、实验目的 掌握集电极开路门(OC门)和三态门(TSL门)的功能和应用。 二、实验设备与器件 1、数字电路实验箱一台; 2、OC门74LS22、TSL门74LS126各一块。 三、实验原理 在数字系统中,有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能,但普通的TTL门电路不允许将它们的输出端直接并联使用。而OC门和TSL 门是两种特殊的TTL门电路,它们允许将输出端并接在一起使用。 OC门与普通TTL与非门的区别仅是输出管的集电极是开路(悬空)的,使用时必须外接负载电阻Rc至电源。 三态门是在普通门电路的基础上,附加使能控制端和控制电路构成。除了通常的高、低电平两种低阻输出状态外,还有第三种输出状态(禁止状态),此时电路与负载之间相当开路。其主要作用是实现总线传输。 四、电路介绍 集电极开路门(OC门):采用74SL22,集电极开路四输入二与非门。 三态门(TSL门):采用74LS126,三态输出四总线缓冲器。 A为输入端,Y是输出端,G是工作方式控制端(也称禁止端或使能端)。 G=1时为正常工作状态,实现Y=A的逻辑功能;G=0时为禁止状态,输出端呈现高阻状态。 五、实验内容与方法: 1、测量OC门的逻辑功能。 (1)、按图1接线,输入端接逻辑电平,输出端接LED。检查无误后接上电源。 (2)、按表1改变四个输入状态,观察输出端电平显示,并用万用表测输出电压,填表1。 表1

简易位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

数字电路第三章习题与答案

第三章集成逻辑门电路 一、选择题 1. 三态门输出高阻状态时,()是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有()。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有()。 A.TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门 4.逻辑表达式Y=AB可以用()实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.TTL电路在正逻辑系统中,以下各种输入中()相当于输入逻辑“1”。 A.悬空 B.通过电阻2.7kΩ接电源 C.通过电阻2.7kΩ接地 D.通过电阻510Ω接地 6.对于TTL与非门闲置输入端的处理,可以()。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联 7.要使TTL与非门工作在转折区,可使输入端对地外接电阻RI()。 A.>RON B.<ROFF C.ROFF<RI<RON D.>ROFF 8.三极管作为开关使用时,要提高开关速度,可( )。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.CMOS数字集成电路与TTL数字集成电路相比突出的优点是()。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与CT4000系列相对应的国际通用标准型号为()。 A.CT74S肖特基系列 B. CT74LS低功耗肖特基系列 C.CT74L低功耗系列 D. CT74H高速系列 11.电路如图(a),(b)所示,设开关闭合为1、断开为0;灯亮为1、灯灭为0。F 对开关A、B、C的逻辑函数表达式()。

集成门电路功能测试(三态门)

集成门电路功能测试实验报告 一实验内容 1 三态门的静态逻辑功能测试。 2 动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 3 测试三态门的传输延迟时间。 4 动态测试三态门的电压传输特性曲线。输入为三角波。 二实验条件 硬件基础实验箱,函数信号发生器,双踪示波器,数字万用表,74LS125。 三实验原理 1 首先测试实验箱上提供的频率电源参数是否正确。 打开实验箱电源,把分别把5MHz的脉冲接入红表笔上,黑表笔接地。观察示波器显示波形的频率是否为5MHz,经过观察计算,波形频率接近5M。误差很小,从下图可以看出,ch1为输入波形一个周期占四个格子,可计算得到f=5MHz。 2 三态门的静态逻辑功能测试。(后面四个实验都是通过示波器在同一时刻测试 3动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 使能端无效是波形:

使能端有效时输出波形 4 测试三态门的传输延迟时间。 通过测量同一时刻的输入输出波形,可以观察到三态门的输出延迟。得到波形图为

CH1,CH2分别为输入输出波形,可以看出在上升沿的输出延迟为10ns 然而下降沿的时候的截图已经丢失了,依稀记得在实验时候,测得是数据下降沿的输出延迟与上升沿的不一致,并且比上升沿的短。为9.6ns,其传输延迟为两个延迟的平均值9.8ns。 5 测试三态门的电压传输特性曲线。输入为三角波。 得到输入输出波形为:CH1为输入,CH2为输出。

得到阀值电压为0.92V。 四总结 这次实验基本上和上次实验的方法一样,没遇到什么大的问题。就是还是粗心。五评价 实验效果挺好。巩固了对逻辑器件的功能测试的方法和操作。

东南大学门电路和组合逻辑电路实验报告材料实用模板.

东南大学电工电子实验中心 实验报告 课程名称: 第次实验 实验名称: 院 (系 :专业: 姓名:学号: 实验室 : 实验组别: 同组人员:实验时间:年月日评定成绩:审阅教师: 一、实验目的 二、实验原理 三、预习思考题 1、下图中的两个电路在实际工程中经常用到,其中反相器为 74LS04,电路中的电阻起到了保证输出电平的作用。分析电路原理,并根据器件的直流特性计算电阻值的取值范围。

N 个 N 个 (a (b 答:①电路 (a使用条件是驱动门电路固定输出为低电平 ②电路 (b使用条件是驱动门电路固定输出为高电平 2、下图中的电阻起到了限制前一级输出电流的作用,根据器件的直流特性计算电阻值的取值范围。 N 个 答: 3、图 2.4.1 用上拉电阻抬高输出电平中, R 的取值必须根据器件的静态直流特性来计

算,试计算 R 的取值范围。 5 V 图 2.4.1 用上拉电阻抬高输出电平 答: 4、图 2.4.3(a中 OC 外接上拉电阻的值必须取的合适,试计算在这个电路中 R 的取值范围。 (a OC 门做驱动 答:

5、下图中 A 、 B 、 C 三个信号经过不同的传输路径传送到与门的输入端,其中计数器为顺序循环计数, 即从 000顺序计到 111, C 为高位, A 为低位。 A 、 B 、 C 的传输延分别为 9.5nS 、 7.1nS 和 2nS 。试分析这个电路在哪些情况下会出现竞争-冒险,产生的毛刺宽度分别是多少。 答: 四、实验内容 必做实验: A 2.5节实验:门电路静态特性的测试 内容 7. 用 OC 门实现三路信号分时传送的总线结构框图如图 2.5.4所示, 功能如表 2.5.2所示。 (注意 OC 门必须外接负载电阻和电源, E C 取 5V D 2 D 1 D 0 图 2.5.4 三路分时总线原理框图①查询相关器件的数据手册,计算 OC 门外接负载电阻的取值范围,选择适中的电阻 值,连接电路。

三态输出电路

三态输出电路 就是具有高电平、低电平和高阻抗三种输出状态的门电路,又称三态门输出电路。在固态机互联板电路,“I/O”板电路中,除了以上几种组合门电路,三态门电路也是必不可少的。 一、电路组成 三态门电路主要有TTL三态门电路和CMOS三态门电路. 不难看出,二种输出三态门电路都是在普通门电路的基础上附加控制电路而构成. 二、工作原理 (1)TTL三态门电路工作原理图1给出了三态门的电路结构图及图形符号。其中控制端·EN为低电平时(面=口/,P点为高电平,二极管D截止,电路工作状态和普通的与非门没有区别。这时Y=·A’B,可能是高电子也可能是低电平,视A、B的状态而定。而当控制端EN为高电平时(EN=1),P点为低电平,它控制T1发射极,把VBl钳位在1V,使T,、T5载止。同时二极管D导通,T4的基极电位被钳在1V,使T4载止。由于T4、T5同时载止,所以输出端呈高阻状态o (2)图2中是将CMOS反相器的输出端同一个模拟开关相串联,即可组成三态门。图中T,、T2组成反相器,TG和反相器3组成模拟开关,其工作原理是:当控制端电压Ve =1时,由于模拟开关断开,输出端与电源Vm,输出端与地都相当于开路,故呈现高阻抗状态。当Ve=OV时,模拟开关闭合,输出电压VY取决于反相器的输入电压。若V4= OV,则T1截止,T2导通,VY=VDD,输出高电平;若Va=1,则Tl导通,T2载止,VY=OV,输出低电平。 上述电路中,控制端EN为低电平时与非门处于工作状态,所以该电路为低电平有效同样还有高电平有效控制电路。 三、三态门电路的应用 (1)多路信号分时传递 在一些复杂的数字系统(象固态机的互联板,U0板等)中,为了减少各个单元电路之间连线的数目,希望能在同一条导线上分时传递若干个门电路的输出信号。这时可采用图3所示的连接方式。图中G1-Gn。均为三态与非门。只要在工作时控制各个门的En端轮流等于“1”,而且任何时候仅有一个等于“1”就可以把各个门的输出信号轮流送到公共的传输线一总线上而互不干扰。 (2)用作双向传输的总线接收器 利用三态输出门电路还能实现数据的双向传输。固态机数据传送这种功能也是常用的。 在图4电路中,当E。=1时,C:工作而C2为高阻抗,数据D。经C1反相后送到总线上去。当皿=0时,C2工作而C1为高阻抗,来自总线的数据经C2反相后由D,送出。 三态输出门电路(TS(Three-state output Gate)门)

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

2 实验二三态门实验

实验二、三态门实验 一、实验目的 1、掌握三态门逻辑功能和使用方法。 2、掌握用三态门构成总线的特点和方法。 3、初步学会用示波器测量简单的数字波形。 二、实验所用仪器和芯片 1、四二输入与非门74LS00 1片 2、三态输出的四总线缓冲门74LS125 1片 3、TEC-5实验系统 1台 4、示波器 1台 三、实验内容 1、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门的三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。 2、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。

*3、用74LS125两个三态门输出构成一条总线。使两个控制端一个为低电平,另一个为高电平。一个三态门的输入接50kHz信号,另一个三态门的输入接 500KHz信号。用示波器观察三态门的输出。 四、实验提示 1、三态门74LS125的控制端C为低电平有效。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 五、实验报告要求 1、画出实验的逻辑电路图。 2、分析每个实验的实验现象。 3、分析实验1和实验2中三态门输出电压不同的原因。 *4、用三态门74LS125构成总线时,三态门输出应怎样连接?为什么在任何时刻,构成总线的三态门中只允许一个控制端为低电平,其余控制端应为高电平。

三态逻辑与非门基本输出状态及其应用电路解析

三态逻辑与非门基本输出状态及其应用电路解析 我们常说三态门,那么三态门到底是什么呢?三态又指的是哪三态呢?别急,接下来我会你具体讲解什么是三态门,以及它的应用电路解析。 什么是三态门?三态门,是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态的门电路高阻态相当于隔断状态。三态门都有一个EN控制使能端,来控制门电路的通断。可以具备这三种状态的器件就叫做三态(门,总线,。..。..)。 举例来说: 内存里面的一个存储单元,读写控制线处于低电位时,存储单元被打开,可以向里面写入;当处于高电位时,可以读出,但是不读不写,就要用高电阻态,既不是+5v,也不是0v 计算机里面用1和0表示是,非两种逻辑,但是,有时候,这是不够的, 比如说,他不够富有但是他也不一定穷啊,她不漂亮,但也不一定丑啊, 处于这两个极端的中间,就用那个既不是+也不是―的中间态表示,叫做高阻态。 高电平,低电平可以由内部电路拉高和拉低。而高阻态时引脚对地电阻无穷,此时读引脚电平时可以读到真实的电平值。 高阻态的重要作用就是I/O(输入/输出)口在输入时读入外部电平用. 1. 三态门的特点 三态输出门又称三态电路。它与一般门电路不同,它的输出端除了出现高电平、低电平外,还可以出现第三个状态,即高阻态,亦称禁止态,但并不是3个逻辑值电路。 2. 三态逻辑与非门 三态逻辑与非门如图Z1123所示。这个电路实际上是由两个与非门加上一个二极管D2组成。虚线右半部分是一个带有源泄放电路的与非门,称为数据传输部分,T5管的uI1、uI2称为数据输入端。而虚线左半部分是状态控制部分,它是个非门,它的输入端C称为控制端,或称许可输入端、使能端。 当C端接低电平时,T4输出一个高电平给T5 ,使虚线右半部分处于工作状态,这样,电

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

数字逻辑实验报告

数字逻辑实验报告 实验一器件认知及基本逻辑门逻辑功能测试 一、实验目的 1. 认知逻辑器件的外形和引脚的排列。 2.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 3.熟悉TTL中、小规模集成电路的使用方法。 4. 对逻辑器件的逻辑功能进行测试和验证。 5. 掌握"Dais数字电路实验系统”仪器的使用方法。 二、实验所用器件和设备 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS28 1片 3. 二输入四异或门74LS86 1片 4.Dais数字电路实验系统1台 5.万用表1个 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2. 测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验提示. 1. 将被测器件插人实验台上的集成块插座中。 2.将器件的引脚7与“地(GND)”连接,将器件的14引脚与+5V连接。 3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平为“0”或为“1”。 4. 将被测器件的输出引脚与实验台上的电平指示灯(即发光二极管)连接。指示 灯亮表示输出电平为“1”,指示灯灭表示输出电平为“0”。 五、实验报告要求 1.画出三个实验的接线图。 2.用真值表表示出实验结果。 实验二用全与非门构成全加器 一、实验目的 1. 掌握全加器的逻辑功能和真值表。

2.掌握用全与非门构成全加器的方法。 二、实验所用器件和设备 1. 二输入四与非门74LS00 2片 2.三输入三与非门74LS10 1片 3.六反相器74LS04 1片 4. Dais数字电路实验系统1台 三.实验内容 1.画出全加器的电路图。 2.全与非门构成全加器,并搭出电路。 四.实验提示 二输入四与非门74LS00 中的任一个与非门二输入端连在一起时,此与非门即可当成非门使用。 五.实验报告要求 1.用真值表形式说明全加器的功能。 2.画出用全与非门构成的全加器的电路图。 实验三三态门实验 一、实验目的 I.掌握三态门逻辑功能和使用方法。 2.掌握用三态门构成总线的特点和方法。 二、实验所用器件和设备 1.四2输入正与非门74LS00 1片 2.三态输出的四总线缓冲门74LS125 1片 3.万用表l个 4.Dais数字电路实验系统1台 三、实验内容 1.74LS125三态门的输出负载为74LS00一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

实验三集电极开路门和三态门教案

实验二 组合逻辑电路 一、 实验目的 了解组合电路的设计方法;尝试用与非门组成简单组合电路。 二、 实验原理 根据一定的逻辑功能设计出的逻辑电路,并不是唯一的,有繁有简。由于生产和使用与非门集成电路较多,所以,把一般函数式变换成只用与非门就能实现的函数式具有重要意义。这种函数式应包含逻辑乘及逻辑非运算,而且每个逻辑乘法之上必须有逻辑非运算(即与非-与非表达式。) 逻辑函数可以用真值表、逻辑表达式、卡诺图、逻辑图和波形图表示。它们之间有一定的换算规律。 三、 实验仪器与器件: 1、 数字实验箱 一台; 2、集成电路与非门74LS00一块。 四、实验内容 (1)利用与非门组成与门电路; (2)利用与非门组成或门电路;(3)利用四个与非门组成异或门电路。 要求:(1)写出各个门电路的与非—与非表达式; 74LS00逻辑图

(2)画出逻辑电路,标出管脚; (3)自拟实验步骤,设计表格,测试电路的逻辑功能。 五、研究问题: 如何把与非门作为非门使用?

实验三集电极开路门和三态门 一、实验目的 掌握集电极开路门(OC门)和三态门(TSL门)的功能和应用。 二、实验设备与器件 1、数字电路实验箱一台; 2、OC门74LS22、TSL门74LS126各一块。 三、实验原理 在数字系统中,有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能,但普通的TTL门电路不允许将它们的输出端直接并联使用。而OC门和TSL 门是两种特殊的TTL门电路,它们允许将输出端并接在一起使用。 OC门与普通TTL与非门的区别仅是输出管的集电极是开路(悬空)的,使用时必须外接负载电阻Rc至电源。 三态门是在普通门电路的基础上,附加使能控制端和控制电路构成。除了通常的高、低电平两种低阻输出状态外,还有第三种输出状态(禁止状态),此时电路与负载之间相当开路。其主要作用是实现总线传输。 四、电路介绍 集电极开路门(OC门):采用74SL22,集电极开路四输入二与非门。 三态门(TSL门):采用74LS126,三态输出四总线缓冲器。 A为输入端,Y是输出端,G是工作方式控制端(也称禁止端或使能端)。 G=1时为正常工作状态,实现Y=A的逻辑功能;G=0时为禁止状态,输出端呈现高阻状态。

稳压电源实验报告

可调数显稳压电源 一实验目的 1学习直流稳压电源方面的基础知识; 2完成可调数显稳压电源的方案选择; 3完成可调数显稳压电源的软硬件设计、开发及调试。 二实验仪器与设备 1.数字示波器 2数字万用表 3仿真软件Multisim 4模拟电子技术实验箱 5 数字电子技术实验箱 三实验原理与实现方案 1 小功率直流稳压电源的基本原理 稳压电源的输出电压,是相对稳定而并非绝对不变的,它只是变化很小,小到可以允许的范围之内。产生这些变化的原因:一是因电网输入电压不稳定所导致。二是因为供电对象而引起的,即出负载变化形成的。三是由稳压电源本身条件促成的。第四,元器件因受温度、湿度等环境影响而改变性能也会影响稳压电源输出不稳。一般地,稳压电源电路的设计首先要考虑前两种因素,并针对这两种因素设计稳压电源中放大器的放大倍数等。在选择元器件时,就要重点考虑第三个因素。在设计高精度稳压电源时,必须要高度重视第四个因素。因为在高稳定度电源中,温度系数和漂移这两个关键的技术指标的好坏都是由这个因素所决定的。 一般直流稳压电源是由电源变压器、整流电路、滤波电路和稳压电路四个部分组成如图1所示: 图1直流稳压电源的基本组成 电源变压器是将交流电网220V的电压变为所需要的交流电压值。整流电路的作用是将交流电压变成单方向脉动的直流电压;滤波电路将脉动直流中的高次谐波成分滤除,减少谐波成分,增加直流成分;稳压电路采用负反馈技术,进一步稳定整流后的直流电压。 2 可调数显稳压电源的实现方案 (1)整体方案 经过系统地分析与比较,我们采用以下方案来实现可调数显稳压电源系统的设计:该系统主要由变压器、整流电路、滤波电路、可调稳压模块和数显模块等组成,其中在数显模块上分别采用由ADC0809与数字芯片搭建的数字电路来实现。对于各个模块的设计与分析,我们将在以下的报告中给出详细的说明。 (2)整流电路 整流电路利用二极管的单向导电作用将交流电压变成单方向脉动的直流电压,本实验采用单向桥式整流电路。单向桥式整流是四个二极管接成的电桥,其输出电压脉动较小,正负半周均有电流流过,电源利用率高,输出的直流电压比较高。所以桥式整流电路中变压器的效率较高,在同等功率容量条件下,体积可以小一些,其总体性能优于单相半波和单相全波

实验四 三态门

第四次实验报告 实验内容: 1、TTL三态门的逻辑功能 2、TTL三态门的电压输出时间 3、74LS125的电压传输特性曲线 实验仪器与元器件: 自制硬件基础电路实验箱、双踪示波器、数字万用表、74LS125 实验预习: 1、三态门(TS) 所谓三态是指输出端而言,普通的TTL与非门其输出极的两个晶体管T4、T5始终保持一个导通,另一个截止的推拉状态。T4导通,T5截止,输出高电平Y=1;T4截止,T5导通,输出低电平,Y=0。三态门除了上述两种状态外,又出现了T4、T5同时截止的第三种状态。因为晶体管截止时c、e之间是无穷大阻抗,输出端Y对地、对电源(v cc)阻抗无穷大。因此这第三种状态也称高阻状态。 三态门有三种输出状态:高电平输出、低电平输出和高阻输出状态。常见的三态门有控制端高电平有效和低电平有效两种类型。三态输出门除了有多输入三态与非门,还经常做成单输入、单输出的总线驱动器,并且输入与输出有同相和反相两种类型。三态门主要用途之一是实现总线传输,各三态门输出端可以并联使用一个传输通道,以选通的方式传送多路信息。使用时注意输出端并接的三态门只能有一个处于工作状态(E=0)。其余必须处于高阻状态(E=1)。三态门驱动

能力强,开关速度快,在中大规模集成电路中广泛采用三态门输出电路,作为计算机和外围电路的接口电路。 如图为三态门的电路图: 2、74LS125就是单输入、单输出的控制端低电平有效的同相三态输出门。即E=0时,Y=A;E=1时为高阻态。控制信号可在E N处加入,也可在处加入: E N=0,=1,则C=0,v B1=0.9V,v c2=0.9V v B4=v c2=0.9V,T4截止(T4导通的电位v B4>1.4V) v B1=0.9V,T5截止,输出端Y为高阻状态。 E N=1,=0,C=1,对与非门另两个A、B输入端无影响,为正常的与非门电路。当A=B=1,则T2、T5导通,v c2=1.0V(前已分析)。二极管D处于反相截止状态(因为其阳极电压v c2=1.0V,小于阴极C点电位v IH=3.4V),在电路中不起作用。 若A、B中有一个为0,则T2、T5截止,由于v c2=v IH+0.7=4.1V,

三态门

三态门实验报告 实验目的: 1,测试三态门静态逻辑功能; 2.测试三态门动态逻辑功能; 3.测试三态门信号传输延迟时间; 4.测试三态门电压传输特性曲线。 实验器材: 74LS125 实验箱万用表信号发生器、示波器。 实验内容: (1).测试三态门静态逻辑功能。 实验步骤: 1.连线。7接地,14接5V的电压,1、2接电平按键。 2.用万用表测试2的电压、3的电压。改变1的开关,重复测量步骤。 3.记录数据,整理分析。 (2)测试三态门动态逻辑功能。 1. ch1测量三态门的2的输入波形,ch2三态门的3的输出波形,2 接入cp。其他的保持不变。 2. 调试,直至出现正确合适的波形为止。保存波形。 (3)测试三态门信号传输延迟时间; 1.将2接入的是5KHz的脉冲,其他的保持不变。 调节出现正确的波形。2.保存波形,记录脉冲上升沿的延迟时间和脉冲下降沿的延迟时间。

3.记录数据,整理分析。 (4)测试三态门电压传输特性。 1. 2接入的是信号发生器的三角波,其他的保持不变。实验结果显示: (1)测试三态门的静态逻辑功能。、 (2)测试三态门的动态逻辑功能 En=0时的波形如下: En=1时的波形:

(3)测量三态门的信号传输 信号上升沿的传输延迟曲线如下: 信号下降沿传输特性曲线: (4)三态门电压传输特性曲线:

实验数据记录及其分析: (1)测试三态门静态逻辑功能; 数据分析结论:三态门的静态逻辑功能如下: (2)测试三态门的动态逻辑功能

数据分析:三态门在使能en=0时逻辑功能正常,在en=1时处于高阻态。 (3)测试信号传输的延迟时间 (4)测试三态门电压传输曲线 实验总结: 实验中起初自己准备的资料未能很好的利用,对实验原理和实验结果的认识及其分析不够到位。 实验评价: 此次实验不太顺利。

FPGA实验报告

南京理工大学泰州科技学院FPGA系统设计实验报告 教材名称:FPGA系统设计与应用开发 指导教师:周莉莉 实验室:4401 学院(系):电子电气工程学院 专业班级:10电信(1)班 姓名:周根生朱守超 学号:1002040149 1002040150 实验学期:2013-2014学年第一学期 总评成绩:教师签字: 南京理工大学泰州科技学院 FPGA系统设计实验报告

目录 实验一Max+plusII原理图设计输入 (1) 实验二简单逻辑电路设计与仿真 (6) 实验三组合逻辑电路设计(一) (11) 实验四组合逻辑电路设计(二) (16) 实验五有限状态机的设计 (26) 实验六数字频率计 (32)

南京理工大学泰州科技学院FPGA系统设计实验报告 课程: FPGA系统设计班级:10电信1班姓名:周根生朱守超学号:1002040149 1002040150 指导教师:周莉莉实验日期: 实验题目:Max+plusII原理图设计输入成绩: 一、设计任务 采用原理图设计输入法,设计一个具有四舍五入功能的电路,其输入为4位二进制数,要求输入大于或等于0101时,电路输出为高电平,小于0101时电路输出为低电平。 二、设计过程 根据设计要求列出四舍五入的真值表,如图1.1所示。 图1.1 四舍五入真值表 由图1.1可得化简的表达式为OUT=A+BD+BC,由逻辑表达式可知,要设计的电路图有四个输入端(A,B,C,D)和一个输出端OUT,整个电路由两个2输入端的与门和一个3输入的或门组成。 启动MAX+plusII,新建Graphic Editor file文件,后缀为.gdf。在编辑界面空白处双击左键,出现输入元件对话框如图1.2所示,在Symbol Name栏中直接输入元件的符号名OK,输入端(input),输出端(output),连接电路如图1.3所示。

三态门电路实验报告

实验二(1)三态门电路设计 班级姓名学号 一、实验目的 熟悉QuartusII仿真软件的基本操作,并用VHDL/Verilog语言设计一个三态门。 二、实验内容 1、熟悉QuartusII软件的基本操作,了解各种设计输入方法(原理图设计、文本设计、波形设计) 2、用VHDL语言设计一个三态门,最终在FPGA芯片上编程指令译码器,并验证逻辑实现。 三、实验方法 1、实验方法: 采用基于FPGA进行数字逻辑电路设计的方法。 采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。 2、实验步骤: 1、新建,编写源代码。 (1).选择保存项和芯片类型:【File】-【new project wizard】-【next】(设置文件路径+设 置project name为stm)-【next】(设置文件名zlym.vhd—在【add】)-【properties】 (type=AHDL)-【next】(family=FLEX10K;name=EPF10K10TI144-4)-【next】-【finish】 (2).新建:【file】-【new】(第二个AHDL File)-【OK】 2、写好源代码,保存文件(stm.vhd)。 3、编译与调试。确定源代码文件为当前工程文件,点击【processing】-【start compilation】进行文件编译。编译结果有一个警告,文件编译成功。 4、波形仿真及验证。新建一个vector waveform file。按照程序所述插入EN,A以及dataout)四个节点(EN,A为输入节点,dataout为输出节点)。(操作为:右击-【insert】-【insert node or bus】-【node finder】(pins=all;【list】)-【>>】-【ok】-【ok】)。任意设置EN,A的输入波形…点击保存按钮保存。然后【start simulation】,出name dataout的输出图。 5、时序仿真。暂时不知道什么是时序仿真 6、FPGA芯片编程及验证 选择pins,连接计算机到实验箱,操作。 四、实验过程 3、编译过程 a)源代码如图(VHDL设计)

门电路逻辑功能测试实验报告

实验报告 专业物联网工程年级 2012级姓名 *** 学号 ********** 日期实验地点 *学院实验室指导教师 *** (宋体、4号字) 实验一门电路逻辑功能及测试 一、实验目的(宋体、4号字) 1、熟悉门电路逻辑功能。 2、熟悉数字电路实验箱及示波器使用方法。 二、实验仪器 1、示波器; 2、实验用元器件: 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 三、实验内容及结果分析 1、测试门电路逻辑功能 ⑴选用双四输入与非门74LS20 一只,插入面包板 (注意集成电路应摆正放平),按图接线,输入端接S1~ S4(实验箱左下角的逻辑电平开关的输出插口),输出端 接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 ⑵将逻辑电平开关按表状态转换,测出输出逻辑状态 值及电压值填表。 (3)试验真值表,以及测试表格: 双四输入与非门真值表表实测结果表

(4 验结果及分析:真值表如上图所示,由真值表可知双四输入与非 门74LS20的功能是Y=(ABCD )′,表现为输入的四个逻辑电平值若有0,则输出值为1;当四个均为1 时,输出为0;根据我的实际试验操作,记录试验结 果为表,和预测试验结果相符。电压也相符,当输出结果为高电频是,电压大于,当输出结果为低电频时,输出电压小于。 2、逻辑电路的逻辑关系 ⑴ 用 74LS00 双输入四与非门电路,按图、图 接线,将输入输出逻辑关系分别填入表,表 中。 ⑵ 写出两个电路的逻辑表达式。分别为Y=A+B 和Y=xy ’+x ’y (3)逻辑电路和的测试表格分别为下表及 表 表 (4)实验结果及分析: 根据真值表,可知图是或门,图是异或门。实验 结果完全符合这两个门的特性。 逻辑表达式:Y=X+Y(图)Y=X ’Y+XY ’(图 3、利用与非门控制输出 (1)用一片74LS00 按图 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 下图是T=500us 时的波形图 t V v 2 2

数字电路实验报告4

暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称中规模集成电路功能测试及应 用指导教师实验项目编号0806003804 实验项目类型验证型实验地点学生姓名 学号学院电气信息学院系专业实验时间 2013 年 6 月 3日上午~ 6 月3日上午温度℃湿度 中规模集成电路功能测试及应用 一、实验目的 1.熟悉数据选择器和译码器的逻辑功能。 2.实现数据选择器和译码器的扩展应用。 二、实验器件、设备和仪器 1.双4选1数据选择器74ls1531片 2.双2:4线译码器74ls1392片 3.6反相器74ls04 1片 4.双4输入与非门74ls20 1片 5. 数字信号显示仪 6.数字万用表ut56 1台 7. tds-4数字系统综合实验平台芯片引脚图 三、实验内容 1.验证译码器的逻辑功能 (1)静态测试方法测试验证74ls139 中一个2:4线译码器的逻辑功能。验证步骤: ① g、b、a端信号的接实验台逻辑电平开关, 4个译码输出引脚y0~y3接逻辑电平指示 灯。② g、b、a改变引脚、产生8种组合,观测指示灯的显示状态,自拟表格记录测试结 果。③对照74ls139 逻辑真值表,验证芯片74ls139 =0时,该译码器才能工作,即?? 为使能端,且低电平有效。当?? =1只有?? 时,信号输出端都是高电平。当芯片使能时,ba输入的编码相应的输出端 ??????为 低电平,其余都是高电平。 综上可知,74ls139 具有2:4线译码器的逻辑功能 (2)动态测试方法测试验证74ls139 中一个2:4线译码器的逻辑功能。g,b,a的输入 信号直接从可编辑数字波形发生器bcd码中选择,如下所示 由上图,可知: =0时, ba输入的编码相应的输出端当????????为低电平,其余都是高电平 =1时, 信号输出端都是高电平当?? 2.逻辑部件的扩展 将74ls139双2:4线译码器器扩展为3:8线译码器。①画出扩展3:8线译码器逻辑图, 连接组装逻辑电路。②测试电路功能。 逻辑电路如上所示 静态测试:地址控制信号可采用用逻辑电平(即手控),输出用逻辑电平指示灯显示,测 试结果如下表所示 地址控制信号直接从编辑数字波形发生器bcd码中选择合适的输入信号,选择频率为50khz, 由数字逻辑信号仪测出全部输入和输出信号波形图,记录分析时序图,时序图如下所示

实验三 三态门

实验三三态门 一、实验目的 1.熟悉计三态输出门的逻辑功能和使用方法。 2.掌握用三态门构成公共总线的特点和方法。 二、实验器材 1.数字逻辑实验箱 2.双踪示波器 3.与非门74LS00(1片)、三态门74LS125(1片) 三、预习要求 1.复习三态门有关知识,了解其逻辑功能及管脚。 2.复习三态门实现总线传输的方法。 四、实验原理 1.三态门(TS) 三态门有三种输出状态:高电平输出、低电平输出和高阻输出状态。常见的三态门有控制端高电平有效和低电平有效两种类型。三态输出门除了有多输入三态与非门,还经常做成单输入、单输出的总线驱动器,并且输入与输出有同相和反相两种类型。例如:74LS125就是单输入、单输出的控制端 低电平有效的同相三态输出门。即E=0时,Y=A;E=1时为高阻态。三态门主要用途之一是实现总线传输,各三态门输出端可以并联使用一个传输通道,以选通的方式传送多路信息。使用时注意输出端并接的三态门只能有一个处于工作状态(E=0)。其余必须处于高阻状态(E=1)。三态门驱动能 力强,开关速度快,在中大规模集成电路中广泛采用三态门输出电路,作为计算机和外围电路的接口电路。 如图2-1为三态门逻辑符号。 A B 图2-1 三态门逻辑符号 五、实验内容 1.三态门逻辑功能测试: 查出三态门74LS125的引脚图,验证各三态门逻辑功能。按图2-1(A)在实验箱上连线,先接上电源和地线,然后用逻辑电平控制输入端A和使能端E,用L显示输出Y的状态,实验结果填入下表:表2-1 74LS125逻辑功能表:

2.用三态门74LS125构成公共总线: 要求:用三个三态门构成一条公共总线,参考图21(B)。使三个输入端状态分别为“0”、“1”、CP,观测公共总线输出状态。 (1)按上述要求画出公共总线的逻辑图。 (2)在实验箱上连线:A1、0(GND),A2、1(Vcc),A3、CP(1KHz或100KHz信号源输出),三个使能端E1……E3分别由三个逻辑开关控制其电平的高低。 (3)检查线路无误后,通电测试。用双踪示波器测试输入和输出的状态及波形并记录。 注意:三态门74LS125的使能端是低电平有效,做总线传输时,要求只有需传输信息的那个三态门的使能端E=0,进入工作状态,其余各门皆处于禁止状态E=1(呈高阻态)。否则,将造成逻辑混乱和 损坏芯片。 六、实验报告要求 1.按实验要求画出有关电图图,记录观察到的数据和波形。 2.分析波形变化的原因。 七、思考题 1.三态门的工作原理和特点是什么? 2.设计用两个三态门构成一条双向总线,画出电路图并测试。

相关主题