搜档网
当前位置:搜档网 › 交通灯程序与仿真图

交通灯程序与仿真图

交通灯程序与仿真图
交通灯程序与仿真图

//*****************************************************

// 模拟交通灯,不含数码管

//****************************************************

#include

#define uchar unsigned char

#define uint unsigned int

//*****************管脚定义****************************

sbit RED_EW = P2^0 ; //东西红灯

sbit YELLOW_EW = P2^1 ; //东西黄灯

sbit GREEN_EW = P2^2 ; //东西绿灯

sbit RED_SN = P2^3 ; //南北红灯

sbit YELLOW_SN = P2^4 ; //南北黄灯

sbit GREEN_SN = P2^5 ; //南北绿灯

//*****************参数定义****************************

uchar Count = 0 ;//计数器计数

uchar Flash_Count = 0;//闪烁计数

uchar Operation_Type = 1; //模式计数

//*****************交通灯切换子程序****************************

void Traffic_Light()

{

switch (Operation_Type)

{

case 1: //第1种模式:东西方向绿灯与南北方向红灯亮5s

RED_EW = 0; YELLOW_EW = 0;GREEN_EW = 1;

RED_SN = 1; YELLOW_SN = 0;GREEN_SN = 0;

if(++Count != 100) return; //第1种模式未到5s,中断返回

Count = 0; //计数器清零,方便下一次计数

Operation_Type = 2; //下一个操作

break;

case 2: //第2种模式:东西方向黄灯闪烁5次,绿灯关闭

if(++Count != 8) return; //第1种模式未到5s,中断返回

Count = 0;

YELLOW_EW = ~YELLOW_EW; GREEN_EW = 0;

if( ++Flash_Count != 10) return; //闪烁5次

Flash_Count = 0;

Operation_Type = 3; //下一个操作

break;

case 3: //第3种模式:东西方向红灯与南北方向绿灯亮5s

RED_EW = 1; YELLOW_EW = 0; GREEN_EW = 0;

RED_SN = 0; YELLOW_SN = 0; GREEN_SN = 1;

if(++Count != 100) return; //第1种模式未到5s,中断返回

Count = 0; //计数器清零,方便下一次计数

Operation_Type = 4; //下一个操作

break;

case 4: //第4种模式:东西方向黄灯闪烁5次,绿灯关闭if(++Count != 8) return; //第4种模式未到5s,中断返回

Count = 0;

YELLOW_SN = ~YELLOW_SN; GREEN_SN = 0;

if( ++Flash_Count != 10) return; //闪烁5次

Flash_Count = 0;

Operation_Type = 1; //下一个操作

break;

}

}

//*****************T0中断程序****************************

void T0_int()interrupt 1

{

TH0 = (65535-50000)/256;

TL0 = (65535-50000)%256;

Traffic_Light();

}

//*****************交通灯切换子程序****************************

void main() //主程序

{

TMOD = 0X01;

TH0 = (65535-50000)/256;

TL0 = (65535-50000)%256;

IE = 0X82;

TR0 = 1;

Traffic_Light();

while(1);

}

智能交通灯设计与实现 [摘要]本文介绍了一个基于PROTEUS的智能交通灯控制系统的设计与仿真,系统能够根据十字路口双车道车流量的情况控制交通信号灯按特定的规律变化。 本文首先对智能交通灯的研究意义和智能交通灯的研究现状进行了分析,指出了现状交通灯存在的缺点,并提出了改进方法。智能交通灯控制系统通常要实现自动控制和在紧急情况下能够手动切换信号灯让特殊车辆优先通行。本文还对AT89S51单片机的结构特点和重要引脚功能进行了介绍,同时对智能交通灯控制系统的设计进行了详细的分析。最后介绍了PROTEUS嵌入式系统仿真与开发平台的使用方法,利用Proteus软件对交通灯控制系统进行了仿真,仿真结果表明系统工作性能良好。 关键词:单片机,智能交通灯控制系统,PROTEUS仿真 一. 引言: 智能的交通信号灯指挥着人和各种车辆的安全运行,实现红、黄、绿灯的自动指挥是城乡交通管理现代化的重要课题.在城乡街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行; 黄灯亮,表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行; 绿灯亮,表示该条道路允许通行.交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通

行,实现十字路口城乡交通管理自动化. 本文为了实现交通道路的管理,力求交通管理先进性、科学化. 分析应用了单片机实现智能交通灯管制的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力. 二. 技术指标 1) 设计一个十字路口的交通灯控制电路,要求南北方向和东西 方向两个交叉路口的车辆交替运行,两个方向能根据车流量大小 自动调节通行时间,车流量大,通行时间长,车流量小,通行时 间短。 2) 每次绿灯变红灯时,要求黄灯先亮5S,才能变换运行车辆. 3) 东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用数码管显示器进行显示(采用倒计时的方法)。 4) 同步设置人行横道红、绿灯指示。 5) 考虑到特殊车辆情况,设置紧急转换开头。 三. 智能交通灯的方案选择 1) 智能交通灯的研究现状 目前设计交通灯的方案有很多,有应用CPLD设计实现交通信号灯控制器方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通信号灯设计的方法。目前,国内的交通灯一般设在十字路门,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。对于一般情况下的安全行车,车辆分流尚能

江西工业工程职业技术学院 毕业论文题目交通灯毕业设计 学生姓名 指导教师 院系机电工程系 专业矿山机电 级别2013届 学号 江西工业工程职业技术学院

前言 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进 行统一调度管理,可缩短车辆通行等候时间,实现科学化管理.

前言------------------------------------------------------------------------2 第一章PLC的特点及应用--------------------------------------------------------4 1.1 概述 (4) 1.2 PLC的特点 (4) 1.3 PLC的应用 (4) 第二章PLC的结构及原理--------------------------------------------------------7 2.1 PLC的分类 (7) 2.2 PLC的结构 (7) 2.3 PLC的工作原理 (7) 2.4 PLC汇编语言 (8) 2.5 PLC的基本指令 (9) 2.6 PLC交通灯毕业设计编程器件 (13) 第三章梯形图的设计与编程方法------------------------------------------------14 3.1 控制要求 (14) 3.2 控制时序 (14) 3.3 PLC交通灯毕业设计硬件及外围元器件 (15) 第四章程序设-------------------------------------------------------------17 4.1 PLC交通灯毕业设计梯形图 (17) 4.2 PLC交通灯毕业设计指令图 (19) 4.3 软件设计 (23) 第五PLC交通灯毕业论文设计总结---------------------------------------------- 24 谢辞-----------------------------------------------------------------------25 参考文献---------------------------------------------------------------------26

交通灯程序设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用开发板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。 图1 路口交通管理示意图 表1 交通信号灯的4种状态 二、程序清单: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY TrafficLight IS PORT ( CLK: IN std_logic; led7s: OUT std_logic_vector(3 downto 0); led7s1: OUT std_logic_vector(3 downto 0); R,Y,G,R1,Y1,G1: OUT std_logic); END;

ARCHITECTURE one OF TrafficLight IS TYPE dm IS (s0,s1,s2,s3); SIGNAL current_state,next_state:dm; SIGNAL FOUT: STD_LOGIC; SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL th :STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL time :STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN P1: PROCESS(CLK) -------秒脉冲发生器 VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK='1' THEN IF CNT8 = "01111111" THEN CNT8:="00000000"; FOUT<='1'; ELSE CNT8 := CNT8+1; FOUT <= '0'; END IF; END IF; END PROCESS P1; P2:PROCESS(FOUT) -------负责对秒脉冲进行计数

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯硬件原理图及说明 一、实验目的 1、掌握8255与显示器的接口方法和编程方法。 2、掌握数码管的工作原理及编码。 3、掌握交通灯工作原理、及电路其控制程序的设计方法。 二、实验内容与要求 实验电路如图所示,交通灯套件是通过接口平台板中二十六芯插座引出来的并口实验。PA0-2控制(G1、Y1、R1),PA3-5控制(G2、Y2、R2),PC0-2控制(G3、Y3、R3),PC5-7控制(G4、Y4、R4),所有的并口控制信号都先通过74LS04引出。12个灯的另一端接A472J的排阻再接到地。PB口接数码管的8个控制引脚。PC3、PC4分别接两个数码管片选引脚(低电平有效)。 电路分析如下: PA0-5是用来控制北面和西面的交通灯(分别为红,黄,绿),PC0-2,PC5-7是用来控制南面和东面的交通灯(分别为红,黄,绿).PB口是来控制数码管.了解了原理图就可以很容易的在程序来编写,使交通灯可以正常运行. 原理图如下:

数码管管脚图 共阴极数码管编码表 实验要求实现功能如下: 实现南北、东西交通车辆直行红绿灯控制。南北两面亮起绿灯的时候,东西两面就会亮起红灯.并而这时中间的数码会以50为倒计时,当倒计时为5时,南北两的的绿灯熄灭,黄灯亮起.东西两面的红灯不变.直到倒计时为0时.东西两面亮绿灯,南北两面亮红灯.如此死循环.模拟生活中的交通灯. 参考程序(C语言): #include #include #include int East_west(); int South_north(); void main() { printf("\n **********************************************\n"); printf("\n * Advert the traffic light -- Be carefull ! *\n"); printf("\n **********************************************\n"); outportb(0x303,0x80); do{ outportb(0x300,0x24); outportb(0x302,0x21);

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

课程设计 2016年03月20日 毕业设计(论文)原创性声明和使用授权说明

原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

以下文档格式全部为word格式,下载后您可以任意修改编辑。 题目:十字路口交通灯的设计与调试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录

第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产;

1 前言 用8255控制十字路口的交通灯每个方向有左拐、右拐、直行及行人4种通行指示灯,计时牌显示路口剩余时间,正常运行时间为60S。在特种车辆如119、120通过路口时,系统可自动转为特种车辆放行,其他车辆禁止通行的状态,15S后系统自动恢复正常管理。还可实现30s与60s通行管理转换等功能。此设计以单片机为控制核心,实现了硬件电路的设计、程序设计,并能够在PROTEUS平台实现仿真。 2 方案设计 2.1设计任务 (1)实现交通灯的循环显示 (2)显示正常情况下的红、黄、绿灯所亮的剩余时间和特殊情况下计时牌显示AA; (3)编写程序代码; (4)程序分析与调试。 2.2 工程方案 此方案克根据以下流程来实行:在WINDOWS2000/XP系统中操作,用C语言或汇编语言实现程序设计,画出交通灯的流程图,具体分析系统各个模块用相应函数调用的实现。再用 proteus电子设计软件来绘制电路原理图,然后Proteus仿真软件中进行编译仿真。最后进行仿真结果分析,以及此次课程设计总结。 3电路设计 3.1电路原理图

3.2 单元电路设计 8255输出信号与数码管的连接:

LED的显示原理:通过同名管脚上所加电平的高低来控制发光二极管是否点量而显示不同的字形如 SP,g,f,e,d,c,b,a 管角上加上7FH所以SP上为0伏,不亮其余为TTL高电平,全亮则显示为8. 采用共阴级连接: 其中PC0\PB0-a, PC1\PB1-b, PC2\PB2-c, PC3\PB3-d, PC4\PB4-e, PC5\PB5-f, PC6\PB6-g PC7\PB7 -SP接地 驱动代码表3.1.3

*****大学 信息与电气工程学院 《课程设计报告》 题目:交通灯控制系统设计 专业:通信工程 班级:通信一班 姓名:** 学号:******** 指导教师:************ 2015年 1 月 10 日

信息与电气工程学院 课程设计任务书 20 —20 学年第学期 专业:通信工程班级:通信一班学号:姓名: 课程设计名称:微机原理与接口技术 设计题目:交通灯控制系统设计 完成期限:自2014 年12 月29日至2015 年1 月9 日共 2 周 设计依据: 交通灯在我们的日常生活中很常见,现代化城市交通中交通灯已成为城市不可或缺的一部分。基于微处理器的交通灯控制系统成为主要设计方法。采用微处理器结合外围芯片,通过软件编程方式即可实现对交通灯的控制。 设计内容及要求: 采用8086/8088控制器和8位并行接口芯片8255设计实现交通灯控制系统,编写软件程序并采用Protel软件或其它软件绘出硬件电路图和PCB板图。 基本要求: (1) 初始状态为全红灯,等待5秒,LED显示倒计时,然后东、西方向亮红灯,南、北 方向亮绿灯,时间为10秒,LED显示倒计时;转为南、北方向绿灯闪3秒,然后转为黄灯3秒,LED显示倒计时;再转为东、西方向绿灯,南、北方向红灯,时间为10秒LED显示倒计时;然后再转为东、西方向绿灯闪3秒,再转为黄灯3秒;再次转为东、西方向红灯,南、北方向绿灯。最后照此循环。 (2) 采用Protel软件绘制硬件电路图。 提高要求: (1)如果发生突发情况,如实施救护让道情况,要求东西或南北方向长时间红灯,应如 何处理?请给出设计方案。 (2) 采用Protel软件绘制PCB板图。 指导教师(签字): 批准日期:年月日

基于plc的智能交通灯控制系统设计毕业论文

二○一三届学生毕业论文(设计)存档编号:__________ 江汉大学 毕业论文(设计) 论文题目智能交通灯设计 (英文) the design of intelligent traffic lights 学院: 专业: 姓名: 学号: 指导教师: 2013年05月26日

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM 将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 关键字:交通灯 PLC 通讯科学管理渐进式

Abstract The programmable preface in PLC controller is a kind of new industry controls the device,it regard microprocessor as the foundation, synthesizing the calculator technique, automatic control technique to develop with the communication technique .It has the construction simple, the plait distance is convenient, high etc. in dependable advantage, already extensive used for the industry process with the automatic control of the position inside.According to the covariance, the programmable controller is a kind of equipments that industry automate to equip the inside the application at most.The expert thinks, the programmable controller will become the main means that aftertime industry control with the one of the important foundation equipmentses, the PLC, robot, CAD/ CAM will become three major of pillar that industry produce.Because the PLC has to the strong characteristic in adaptability in environment in usage, its inner part settles at the same time the machine resources is abundant very, can to current widespread usage of" enter the type gradually" the signal beacon proceeds the precision controls, special the oscular control in a many branch roads can realizes expediently.So that is applied the PLC more and more now in transportation light system inside.At the same time, PLC still have the communication internet function, constitute the same of the signal beacon on the road a the bureau area net proceeds to unify to adjust a management, can shorten the vehicle go through waiting time, realizing scientific management. Keywords: The transportation light, PLC , Communication,scientific management,enter the type gradually

52单片机简易交通灯proteus仿真设计原理 交通灯作为日常生活中必不可少的交通标志,它的设计是单 片机初学者必不可少要接受的一项课题,下面简单介绍用proteus 仿真一个由52单片机控制的简易交通灯。 本设计主要要求以下几个方面:一是根据系统控制要求设计 硬件电路,这里是用PROTEUS软件来完成;二是根据硬件电路编写 相应的程序流程图然后编写相关程序,这里程序的编制主要是用KeilC51软件来完成;三是在KEIL上用已经编好的程序生成.hex 文件载入到PROTEUS中,实现PROTEUS与KEIL的联调,完成调试 和仿真,观察调试结果是否满足设计要求,。 一:设计方案及重点: 首先南北方向红灯、东西方向绿灯亮,南北方向红灯35秒、东西方向绿灯35秒,相应的数码管显示对应的数字并读秒,同时南北方向红色的交通灯和东西方向的绿色交通灯接通点亮显示,当东西方向的绿灯时间到,则东西方向的绿灯转为黄灯,同时数码管显示黄灯的时间3秒,东西方向的黄色二极管接通点亮,此时南北方向的红灯不变。南北方向的红灯和东西方向的黄灯时间同时到,此时南北方向的红灯跳转为绿灯,时间同北方向的绿灯时间到,南北绿灯跳转为黄灯,东西方向的红灯不变,当南北方向的黄灯和东西方向的 ..

红灯时间到,南北方向的黄灯跳转为红灯,东西方向的红灯跳转为绿灯。 设计重点: 1.数码显示管的计时 2.数码管控制交通灯的转换 3.锁存器与位选器端口的选择 4.电路连接与程序编写 二:仿真器件的介绍: 1.单片机芯片:AT89C52, AT89C52是一个低电压,高性 能CMOS 8位单片机, AT89C52单片机在电子行业中有着广泛的应用。 2.数码管:7SEG-MPX2-CC,这是一个两位数共阴极的数码 管, 1就是左边数码管的阴极2就是右边数码管的阴极,a,b,c,d,e,f,g,就是数码管的段码,dp就是数码管的小数点 3.锁存器与位选器:74HC573,具体作用:74HC573锁存 器在数码管显示时作用的确是为了节省IO口,单片机P0口先发送abcdefghp段选信号,这时使用一个74HC573将段选信号保存住,单片机P0口再发送位选信号,此时单片机P0口信号不影响被锁存住的段选信号。,使用另一个74HC573锁存住位选信号。按以上循环,显示8位数码管只需要10个IO口。 ..

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制系统 毕业院校:河南农业职业学院系别:电子信息工程系专业班级:电气自动化09-2班姓名:尤勇斐指导老师:王海娜杨彩红

摘要 本文设计主要研究最为典型的二车道的交通灯自动控制系统,它由晶振模块,定时模块,三色灯控制模块组成,并且利用三色灯(红,黄,绿)的指示和倒计时显示来实现功能,在设计中主要开放了了外部中断EX0。一般情况下交通路口中的突发事件包括闯红灯和救护车通过。对于外部的所有突发事件都可以当中断处理。 关键词:PROTEUS; 80C51;三色灯;LED数码显示

目录 1 绪论 (1) 2 总体设计思路、基本原理和框图 2.1 设计思路 (2) 2.2 基本原理 (3) 2.3 总体设计框图 (3) 3 交通灯自动控制电路硬件设计 3.1交通灯自动控制实现 (4) 3.2主要元器件选择 (4) 3.3交通路口模型 (5) 3.4总电路图 (5) 4 交通灯自动控制电路软件设计 4. 1 单片机中断系统基本结构 (6) 4. 2 每秒钟的设定 (7) 4. 3 计数器初值计算 (7) 4. 4 显示原理 (7) 5 系统仿真 (8) 6 总结与体会 (11) 参考文献

1 绪论 作为一种交通规则的指示,交通灯它起着及其重要的作用。从最初的单车道到现在的四车道八车道等,交通指示的自动控制也越来越完善。它不再仅仅拥有交通指示的作用,还有其它特殊情况的处理,比如对闯红灯的肇事者进行的监督,紧急救护车的通过时保持道路畅通,等等都需要非常的处理,这也是对交通灯功能的新要求。而且,也从最初的只有红,黄,绿三种灯的指示到现在的倒计时电子显示,让人们从单一的信号判别到时间的准确明了有了进一步认知。这都表明交通灯的研究还具有它实际的意义。 2总体设计思路、基本原理和框图 2.1 设计思路 交通灯的自动控制系统的主要功能包括:普通交通灯的显示、LED倒计时显示、突发事件的外部处理和交通路口的模拟。本设计的控制系统由以下电路模块组成: 振荡器和时钟电路:这部分电路主要由80C51单片机和一些电容、晶振组成。 设计控制部分:主要由80C51单片机的外部中断电路组成。 设计显示部分:LED数码显示部分。LED数码显示部分由七段数码显示管组成。 2.2 基本原理 主体电路:交通灯自动控制模块。这部分电路主要由80C51单片机的I/O端口、定时计数器、外部中断扩展等组成。 本设计先是从普通三色灯的指示开始进行设计,用P1口作为输出。程序的初始化是东西南北方向的红灯全亮。然后南北方向红灯亮,东西方向绿灯亮,60秒后东西方向黄灯闪亮5秒后南北方向绿灯亮,东西方向红灯亮。重复执行。倒计时用到定时器T0,用P2口作为LED的显示。二位一体的LED重复执行60秒的倒计时。作为突发事件的处理,本设计主要用到外部中断EX0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。

题目:十字路口交通灯的设计与调 试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征

2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩 短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录 第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,

是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产; 1.3 PLC的应用 目前,PLC在国内外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保及文化娱乐等各个行业,使用情况大致可归纳为如下几类。

电子线路课程设计(报告)题目交通灯控制器 院系专业 班级学号 学生姓名 指导教师 日期

目录 一题目要求与方案论证 (2) 1.1(设计题题目)交通灯控制器 (2) 1.1.1题目要求 (2) 1.1.2 方案论证 (2) 1.2(实训题题目)波形发生器与计数器 (4) 1.2.1题目要求 (4) 1.2.2方案论证 (4) 二电子线路设计与实现 (7) 2.1交通灯控制器电路设计 (7) 三结果与分析 (8) 3.1 (设计题的结果描述与分析)交通灯控制器 (8) 3.2 波形发生与计数器的实现 (8) 四总结与体会 (10) 参考文献(撰写格式如下) (10) 附录 (11)

一题目要求与方案论证 1.1(设计题题目)交通灯控制器 1.1.1题目要求 要求控制十字路口东西、南北向街道,当东西向绿灯亮6s,同时南北向红灯亮6s;接着东西向黄灯亮2s,南北向红灯继续亮2s;接着东西向红灯亮3s,南北向绿灯亮3s;接着东西向红灯亮1s,南北向黄灯亮1s。 主要芯片:同步计数器(74LS163)、红黄绿发光二极管,其他门电路、元件任选。 用已经掌握的multisim8的相关知识,在multisim8的运行环境下设计并仿真一个交通灯控制器的实验,要求实现的功 能如下: 1.1.2 方案论证 (主要描述设计的方案、原理,比如系统框图,各种芯片功能,介绍,整体电路的设计思想) (如需框图说明,则画出系统框图如图所示:) 系统流程图:

(芯片介绍,则如下:) 74LS163的外引线排列图和时序波形图 74LS163功能表

计数器选用集成电路74LS163进行设计较简便。74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。74LS163的外引线排列图和时序波形图如图4所示,其功能表如表3所示。图中,是低电平有效的同步清零输入端,是低电平有效才同步并行置数控制端,CTp、CTT是计数控制端,CO是进位输出端,D0~D3是并行数据输入端,Q0~Q 3是数据输出端。由两片74LS163级联组成的定时器电路如图5所示。电路的工作原理请自行分析。 1.2(实训题题目)波形发生器与计数器 1.2.1题目要求 学习元器件参数的识别及使用注意事项,清点元件个数。 按所给电路图,利用所给元器件,在面包板上连接电路并用示波器测试,记录产生的波形频率范围等参数。 应用Multisim软件进行仿真实验,记录结果。 1.2.2方案论证 1、波形发生器电路如下图:

相关主题