搜档网
当前位置:搜档网 › 数电实验指导书

数电实验指导书

数电实验指导书
数电实验指导书

姓名:张永勇班级:13电信1班学号:39 实验一. 数字逻辑电路仪器仪表的使用与脉冲信号的测量报告

一、实验目的

1.学会数字电路实验装置的使用方法

2.学会双综示波器的使用方法

3.掌握脉冲信号的测量方法

二. 预习要求

1.认真阅读(数字电路实验须知)

2.阅读数字逻辑电路实验常用基本仪器仪表的使用方法

3.熟悉脉冲信号的参数

三.主要仪器仪表、材料

数字逻辑电路实验装置、双踪示波器、数字万用表

74LS04

四.实验内容及步骤

1.脉冲信号周期和幅值的测量

将双综示波器的Y1输入连接1KHz、0.5V的测试方波信号,Y1置0.1V

档、Y2置0.2V档。调整示波器相应的开关和旋钮,在示波器上显示出稳

定的Y1、Y2两路信号。

分别用示波器的0.1ms、0.5ms、1ms时间档测量及记录波形,填表1-1

表1-1

2.直流电平测量

(1)用示波器Y1输入端连接数字逻辑电路实验装置的逻辑电平,分别

用0.5V、1V、2V、5V幅度档测量并记录,填表1-2

(2) 用示波器Y1输入端连接数字逻辑电路实验装置的单脉冲,1V 幅度档测量并记录,填表1-3。

表1-3

(3) 用数字万用

表的5V 直流电压档分别测量并记录数字逻辑电路实 1 验装置的单脉冲、逻辑电平信号,填表1-4。

表1-4

3.逻辑门电路传输延时时间t pd 的测量

用反相器接图1,输入1MHz 方波信号,用双综示波器测试电路输入信号、输出信号的相位差,计算每个门的平均传输延时时间t pd 。

Vo

五.实验报告要求 1、实验目的

2、实验仪器、仪表、材料

3、电路原理图、制作测试数据表、画出波形图等

4、回答问题:

简述示波器和数字逻辑电路实验装置的功能和使用方法。

实验二.门电路逻辑功能及测试

一.实验目的

1.掌握门电路逻辑功能及测试方法

2.熟悉数字电路实验装置的使用方法

3.熟悉双踪示波器的使用方法

二.预习要求

1、复习门电路工作原理及相应的逻辑表达式

2、熟悉所用集成电路的引线位置及各引线用途

3、了解双踪示波器和数字电路实验装置

三.实验仪器及材料

1.数字电路实验装置

2.双踪示波器

3.数字万用表

4.直流稳压电源

5.器件:74LS00 74LS86 74LS04

四.实验内容及步骤

1.TTL与非门逻辑功能测试

(1)将74LS00插入面包板,按图1-1接线,输入端A、B接S1、S2电平开关

的输入插口,输出端Y接电平显示LED的输入插口。

(2)将电平开关按表2-1位置,分别测出输出电压及逻辑状态。

图2-1

表2-1

2.TTL

异或门逻辑功能测试

(1)将74LS86插入面包板,按图2-2接线,输入端A 、B 接S1、S2电平开关

的输入插口,输出端Y 接电平显示LED 的输入插口。

(2)将电平开关按表1-1位置,分别测出输出电压及逻辑状态。 (3)写出异或门逻辑函数的表达式

(0,1

表2-2

3.逻辑电路的功能测试

(1)用法74LS00和74LS04按图2-3接好

(2)将输入输出的逻辑信号分别测试填入表2-3中 (3)写出图2-3电路的逻辑表达式Z

C D

A B

图2-3

4.利用与非门控制输出

将74LS00接线:A接电平开关输出插口

B接1KHz脉冲信号

用双踪示波器:y1输入端接B端,观察脉冲信号

y2输入端接输出Z进行观察

(1)A=0

(2)A=1

分别记录输入、输出波形,说明与非门的控制作用。

5.逻辑门传输延时时间t pd的测量,

用反相器按图1-1接线Vi=200KHz,用双踪示波器测输入信号和输出信号的相位差,计算每个门的平均传输延迟时间的t pd值。

五.实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题

(1)怎样判断门电路逻辑功能是否正常?

(2)二输入与非门一个端输入连续脉冲,其余端什么状态时充许脉冲通过?什么状态时禁止通过?

(3)异或门又称可控反相门,为什么?

实验三. 组合逻辑电路设计

一、实验目的

1、掌握组合电路的设计方法

2、设计安装及调试半加器

3、设计安装及调试一位比较器

二、预习要求

1、用74LS00和74LS04设计半加器电路

2、用74LS00和74LS04设计一位全比较器电路

3、用74LS86和74LS00设计半加器电路

三、实验仪器及材料

1、数字电路实验装置

2、数字万用表

3、器件74LS00 74LS86

74LS04

四、实验内容及步骤

1、安装调试74LS00、74LS04组成半加器电路

2、安装及调试一位全比较器电路

3、安装及调试74LS86和74LS00设计的半加器电路。

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、写出各实验中各逻辑电路的设计过程

5、回答问题:

总结对组合逻辑电路设计、实验安装及调试。

实验四.集成组合逻辑电路及应用(一)

一、 实验目的

1、熟悉集成译码器、集成三态门、集成OC 门电路。

2、掌握集成译码器的应用。 二、实验预习要求

1、熟悉所用集成电路的引线位置及个引线作用

2、掌握用74LS138设计逻辑函数发生器的作用 三、实验仪器及材料

1、数字电路实验装置

2、数字万用表

3、器件:74LS138 74LS125 74LS283 74LS20 74LS07 四、实验内容及步骤

1、三态门功能测试

(1) 74LS125按图4-1接好,按表4-1输入电平分别置位,测试并填写输出状态表并测出输出电压。

A

EN

图4-1

(2) 74LS125按图4-2接好,用双踪示波器测试A 与F1、F2信号,画出A 、B 、F1、F2的波形,并验证表4-1。

1KHz A

B

F2

图4-2

2、集成译码器及应用

(1)集成译码器功能测试:将74LS138按图4-3接好,按表4-2置入使能端端电平,填入输出状态并测出输出电压;将74LS139按图3-4接好,按表4-2置入使能端输入端电平,填入输出状态。

图4-3

.

表4-2

(2)集成译码器应用

将74LS138、74LS20按图4-4接好,按表4-3输入电平置位,填写输出状态,并判断其电路功能。

“1

ai

图4-4

3、集电极开路门及应用

(1) 集电极开路门电路的逻辑功能测试:将74LS07按图4-5接好,当R L

为1K Ω时,将其结果填入表4-4中

(2) 调节电位器,观察OC 门外接负载电阻R L 的取值对输出电平的影响

Ω

Ω F

图4-5

4、集成四位加法器及应用

(1) 将74LS283按图4-6接好,给定A 、B 时,将其结果填入表4-5,并验证四位加法器的逻辑功能。

表4-5 A A0 S0

A1

A2 S1 A3

B B0

B1 S2

B2

B3 S3

Ci Co

图4-6

(2)B=0110时,A=0000~1001,将其结果填入表4-6,指出其逻辑功能

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

简述用74LS138设计函数发生器的过程

实验五、集成逻辑电路及应用(二)

一、实验目的

1、熟悉集成四位全比较器及其应用

2、熟悉集成双4选1数据选择器及其应用

二、实验预习要求

1、熟悉所用集成电路的引线位置及个引线作用

2、掌握用74LS153设计逻辑函数发生器的方法

三、实验仪器及材料

1、数字电路实验装置

2、数字万用表

3、器件:74LS85 74LS153 74LS283 74LS04

四、实验内容及步骤

1、四位全比较器功能测试

(1) 将74LS85按图5-1接好,按表5-1输入电平分别置位,填写输出状态。 (2) 判断两个四位二进制A 和B 的A >B 、A

(1)4选1数据选择器功能测试:将74LS153按图5-2接好,按表5-2输入电平分别置位,

测试并填写输出状态。 表5-1 a0

a1 5

A a2

a3 6

b0

b1 7

B b2 b3 图5-1 1 m g “1” 表5-2

1y

5-2

(2) 4选1数据选择器应用

(1) 将74LS153按图5-3接好,按表5-3输入电平分别置位,测试并填写

输出状态,并验证其逻辑功能。

(2)将74LS153和74LS04按图5-4接好,按表5-4输入电平分别置位,

填写输出状态,并判断电路的逻辑功能。

3、集成四位加法器及应用(实验四,内容4) 五、实验报告

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题

简述用74LS151设计函数发生器的过程

表5-3

1” 1C0

1C1

1C2

1y y

1C3

G1 A1 A0 B A

表5-4 Si

C i 1

Ci Si 图5-5

实验六、RS 、D 触发器及其应用 一、实验目的

1、熟悉与非门组成的基本RS 触发器的逻辑功能

2、熟悉D 触发器74LS74,74LS175的逻辑功能

3、掌握常用集成D 触发器的正确使用方法及应用 二、预习要求

1、用二输入与非门组成基本RS 触发器

2、熟悉实验用集成D 触发器的管脚作用及功能

3、画出基本触发器

4、试作出实验内容中触发器应用图6-1的波形图

5、分析“四路智力抢答器”电路,图6-2 三、实验设备及材料

1、数字电路实验装置

2、双踪示波器

3、数字万用表

4、器件:74LS74 、74LS00、74LS20、74LS175

四、实验内容及步骤

1、基本RS触发器功能测试

依据表6-1对基本RS触发器逻辑功能测试,通过测试结果,正确理解基本RS触发器置位、复位及不定与不变的含义。

2、D触发器功能测试

(1)依据表6-2对Rd Sd功能测试

(2)依据表6-3对D触发器功能测试(Rd Sd悬空)

注意:○1先置好D,再置CP

○2观察在CP=0,CP=1期间,D的变化对Q Q的影响

3、D触发器应用

(1)D—Q连接,加入CP时钟信号(1KHz),用双踪示波器观察并记录CP、Q、Q波形。

指出:○1电路功能○2CP触发作用沿○3Q和Q的异同。

(2)用集成D触发器74LS74组成分频移相电路图6-1,输入1KHz方波信号测试输出并画出波形。

(3)用集成D触发器74LS175组成图6-2四路智力抢答器电路

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

为什么在触发器功能测试中首先置好控制端D,再置CP

V01 V02

D F0 Q D F2 Q

Q Q

CP

图6-2

实验七、J K触发器及其应用

一、实验目的

1、常用JK触发器的逻辑功能

2、掌握集成JK触发器的正确使用方法及应用

二、预习要求

1、熟悉实验用集成JK触发器的管脚作用及功能

2、画出JK触发器的状态转换表

3、试作出实验内容中触发器应用图7-1的波形图

4、分析“电路智力抢答器”电路,图9-2

三、实验仪器及材料

1、数字电路实验装置

3、双踪示波器

4、万用表

5、器件:74LS112 74LS00

74LS20 30KΩ电阻

四、实验内容及步骤

1、J K触发器功能测试

(1)依据表7-1对J K触发器Rd Sd功能测试

(2)依据表7-2对J K触发器功能测试(Rd Sd悬空)

注意:○1先置好J K再置CP

○2观察在CP=0,CP=1期间,J K的变化对Q Q的影响

表7-1

表7-2

2、J K 触发器的应用

(1)J=K=1加入CP时钟信号(1KHz方波),用双踪示波器观察并记录波形。

指出:○1电路功能○2CP触发器作用沿?○3Q和Q的异同

2、用集成J K触发器组成分频移相电路如图7-1,输入1KHz方波信号,测试并

画出输出波形。

3、用集成J K触发器组成图7-2四路电路抢答器电路

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

为什么在触发器功能测试中首先置好控制端J、K,再置CP?

实验八、集成计数器及其应用

一、实验目的

1、掌握一种集成计数器的功能及使用方法

2、学会用集成计数器74LS196组成不同编码方式,不同进制计数器的方法。

二、预习要求

1、熟悉8421BCD码、5421BCD码头的编码方式

2、了解集成计数器械74LS196的引脚、功能和使用方法

3、掌握用集成计数器74LS196组成任意进制计数器的方法

三、实验仪器及器材

1、数字电路实验装置

2、万用表

3、器件:74LS196 74LS20

四、实验内容

1、74LS196集成计数器的功能测试

(1)COI/LD=1 Cr=1, 1秒脉冲接CP1,输入10个脉冲,观察并记录QdQcQbQa。

(2)1秒脉冲接CP2,其它同上。

(3)COT/LD=1,Cr=0

○1观察并记录QdQcQbQa

○2CP1或CP2加入时钟信号,观察并记录QdQcQbQa

○3改变IdIcIbIa,观察并记录QdQcQbQa

(4)Cr=1,COT/LD=0,

○1IdIcIbIa=1001,分别用LED灯和七段显示器观察并记录QdQcQbQa

○2IdIcIbIa=0110,分别用LED灯和七段显示器观察并记录QdQcQbQa

2、脉冲信号Cr=1 CON/LD=1 N→CP1, Qa→CP2(首先要置零)

(1)输入1 2个脉冲,观察并记录QdQcQbQa

(2)QaQc→Cr端,输入8个脉冲,观察并记录QdQcQbQa

(3)QaQc→COI/LD端,IdIcIbIa=1000输入8个脉冲,观察并记录QdQcQbQa。

3、脉冲信号Cr=1 CON/LD=1 N——CP2 CP1——Qd(首先要置零)

○1输入12个脉冲,观察并记录QaQdQcQb。

○2QaQc→Cr,输入8个脉冲,观察并记录QaQdQcQb。

○3QaQc→COI/LD端,IaIdIcIb=0000输入8个脉冲,观察并记录QaQdQcQb。

五、实验报告要求

1、实验目的

2、实验仪器、仪表、材料(引脚图、功能表、型号)

3、电路原理图,制作测试数据表,画出波形图

4、回答问题:

可用该计数器实现减法计数吗?

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

模拟电路实验指导书

目录 实验一整流、滤波、稳压电路 (1) 实验二单级交流放大器(一) (5) 实验三单级交流放大器(二) (7) 实验四两级阻容耦合放大电路 (9) 实验五负反馈放大电路 (11) 实验六射极输出器的测试 (14) 实验七 OCL功率放大电路 (16) 实验八差动放大器 (18) 实验九运算放大器的基本运算电路(一) (20) 实验十集成运算放大器的基本运算电路(二) (22) 实验十一比较器、方波—三角波发生器 (24) 实验十二集成555电路的应用实验 (26) 实验十三 RC正弦波振荡器 (30) 实验十四集成功率放大器 (32) 实验十五函数信号发生器(综合性实验) (34) 实验十六积分与微分电路(设计性实验) (36) 实验十七有源滤波器(设计性实验) (38) 实验十八电压/频率转换电路(设计性实验) (40) 实验十九电流/电压转换电路(设计性实验) (41)

实验一整流、滤波、稳压电路 一、实验目的 1、比较半波整流与桥式整流的特点。 2、了解稳压电路的组成和稳压作用。 3、熟悉集成三端可调稳压器的使用。 二、实验设备 1、实验箱(台) 2、示波器 3、数字万用表 三、预习要求 1、二极管半波整流和全波整流的工作原理及整流输出波形。 2、整流电路分别接电容、稳压管及稳压电路时的工作原理及输出波形。 3、熟悉三端集成稳压器的工作原理。 四、实验内容与步骤 首先校准示波器。 1、半波整流与桥式整流: ●分别按图1-1和图1-2接线。 ●在输入端接入交流14V电压,调节使I O=50mA时,用数字万用表测出V O,同时用 示波器的DC档观察输出波形记入表1-1中。 图1-1

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

模电实验指导书

模拟电子线路实验指导书福州大学物理信息学院电子系

目录 实验一三种常用电子仪器的使用 (2) 实验二单管低频放大器的设计安装和调试 (5) 实验三负反馈放大器的设计与测量 (12) 实验四差分放大器 (16) 实验五集成运算放大器的线性应用电路的设计与测量 (20) 实验六整流与稳压电路 (27) 实验七无变压器低频功率放大器 (29) 实验一三种常用电子仪器的使用 一、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表等的主要技术指标、性能及正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交

流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。 ②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单 踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较底时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被 测信号的波形不在X轴方向左右移动,这样的现象仍属于稳定显示。 5)、适当调节“扫描速率”开关及“Y轴灵敏度”开关使屏幕上显示

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

模拟电子技术实验指导书

实验一 基尔霍夫定律的验证 基尔霍夫定律是电路的基本定律,它适用于任何集总参数电路。任意一个集总参数电路中各支路电流以及每个元件两端的电压都应该能够满足基尔霍夫电流定律(KCL)和基尔霍夫电压定律(KVL)。 2.1.1 基尔霍夫定律的基本原理 1. 基尔霍夫电流定律 基尔霍夫电流定律描述电路中各电流的约束关系,又称为节点电流定律。基尔霍夫电流定律(KCL )指出:在集总参数电路中,任意时刻,对任意结点流出(或流入)该结点电流的代数和等于零,即: ∑==m k t i 1 0)(,或∑∑出 入 =i i (2.1.1) 基尔霍夫电流定律(KCL )不仅适用于 电路节点,还可以推广运用于电路中包围多 个结点的任一闭合面。例如,如图2.1.1所示, 封闭面所包围的局部电路,有3条支路与电路 的其他部分相连接,其电流分别为I 1、I 2、I 3, 依基尔霍夫电流定律(KCL )有:I 3= I 1+ I 2。 图2.1.1 基尔霍夫电流定律的推广 2. 基尔霍夫电压定律 基尔霍夫电压定律描述了电路中元件电压的约束关系。基尔霍夫电压定律(KVL )指出:在集总参数电路中,任意时刻,沿任意闭合路径绕行,各元件电压的代数和为零,即: ∑==m k t v 1 0)(,或∑∑升 降 =v v (2.1.2) 2.1.2 基尔霍夫定律仿真分析 仿真电路如图2.1.2所示。 1. 理论分析 根据基尔霍夫定律,可以列出图2.1.2所示 电路的KCL 和KVL 方程: ? ? ?=?+?=++015-18020 022121R R R R I I I I (2.1.3) 解方程得:I R 1=-6A ,I R 2=4A ,从而得到 R 1两端电压V R 1=-120V ,R 2两端电压V R 2=60V 。 图2.1.2 基尔霍夫定律仿真电路 2. 仿真步骤 (1) 依次点击Multisim 11元件工具条上的“Place Source s\Power Sources\DC_Power”放置直流电压源,“Place Sources \Signal_Current Sources\DC_Current”放置直流电流源,“Place I1 I2 I3 R1R2 R3R5 R4

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数字电路实验指导书(第一次实验用)

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、前言 二、实验一基本电路逻辑功能实验 三、实验二数字键输入编码功能实现电路设计 四、实验三二进制数字存储功能电路设计 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则 十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加

电路与模电实验指导书

实验一基尔霍夫定律验证和电位的测定 一、实验目的 1.验证基尔霍夫电流定律(KCL)和电压定律(KVL)。 2.通过电路中各点电位的测量加深对电位、电压及它们之间关系的理解。3.通过实验加强对参考方向的掌握和运用的能力。 4.训练电路故障的诊查与排除能力。 二、原理与说明 1.基尔霍夫电流定律(KCL) 在任一时刻,流出(或流入)集中参数电路中任一可以分割开的独立部分的端子电流的代数和恒等于零,即: ΣI=0 或ΣI入=ΣI出式(3-1) 此时,若取流出节点的电流为正,则流入节点的电流为负。它反映了电流的连续性。说明了节点上各支路电流的约束关系,它与电路中元件的性质无关。 要验证基式电流定律,可选一电路节点,按图中的参考方向测定出各支路电流值,并约定流入或流出该节点的电流为正,将测得的各电流代入式(3-1),加以验证。 2.基尔霍夫电压定律(KVL) 按约定的参考方向,在任一时刻,集中参数电路中任一回路上全部元件两端电压代数和恒等于零,即: ΣU=0 式(3-2) 它说明了电路中各段电压的约束关系,它与电路中元件的性质无关。式(3-2)中,通常规定凡支路或元件电压的参考方向与回路绕行方向一致者取正号,反之取负号。 3.电压、电流的实际方向与参考方向的对应关系 参考方向是为了分析、计算电路而人为设定的。实验中测量的电压、电流的实际方向,由电压表、电流表的“正”端所标明。在测量电压、电流时,若电压表、电流表的“正”端与参考方向的“正”方向一致,则该测量值为正值,否则为负值。 4.电位与电位差 在电路中,电位的参考点选择不同,各节点的电位也相应改变,但任意两节点间的电位差不变,即任意两点间电压与参考点电位的选择无关。

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

模拟电子技术实验指导书(经典)

《模拟电子技术》 实验指导书 班级: 姓名: 学号: 指导老师: 2017年1月制

实验一电子仪器仪表的使用 一、实验目的 1、学习使用直流稳压电源,低频信号发生器,毫伏表,示波器等仪器的正确操作方法。 2、了解以上各仪器的工作范围及性能。 二、实验设备 1、低频信号发生器1台 2、毫伏表1台 3、示波器1台 4、万用表1块 三、实验原理及内容 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有:示波器、低频信号发生器、直流稳压电源、晶体管毫伏表、数字式(或指针式)万用表等,如图所示 1、实验电路测量 2、仪器仪表的工作范围 3、低频信号发生器,为电路提供各种频率和幅度的输入信号; 4、毫伏表用于测量电路的输入、输出信号的有效值; 5、示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等; 6、万用表(指针式):用于测量电路的静态工作点和直流信号的值。 四、实验步骤 1、打开实验仪器的电源开关让仪器预热。 2、实验箱右侧有电压为12V、-12V、5V~27V等值。并用万用表合适的直流电压量程测量校对以上各电压值。测量并记录。 3、调节XD22A低频信号发生器的“频率范围”旋钮,使f=1KHz。调节“输出衰减”“输出调节”旋钮,使低频信号发生器指示电压为3V(有效值),并用毫伏表中合适的量程测量在不同“输出衰减”对应的低频信号发生器实际输出电压值。 XD22A低频信号发生器的“输出衰减”;量程以“dB”量表示。旋钮置于“0”dB时,输出电压为表头指示值,无衰减。换算过程如下: dB=20|lgA|,A为衰减倍数,如,“输出衰减”旋钮置于0dB时,A=100=1,此时表头的任何指示值都乘以1,表示输出没有衰减,输出电压为表头指示值;又如:“输出衰减”旋钮置于10dB时A=100..5=0.333倍,此时表头的任何指示值都乘以0.33,便是输出电压有效值。

电工电子技术 实验指导书

电工与电子技术实验指导书 目录 实验一万用表的使用 (3) 实验二叠加定理 (5) 实验三戴维南定理 (7) 实验四日光灯电路 (10) 实验五正弦交流电路认识实验 (12) 实验六三相交流电路 (16) 实验七RC电路的暂态分析 (19) 实验八变压器参数测定及绕组极性判别 (21) 实验九三相异步电动机的起动与控制 (25) 实验十可编程控制器PLC及其应用 (27) 实验十一单管电压放大器 (30) 实验十二集成运算放大器的应用 (32) 实验十三直流稳压电源 (34) 实验十四组合逻辑电路的设计 (36) 实验十五集成JK触发器和计数器 (38) 实验十六A/D、D/A转换器 (40) 实验十七555集成定时器及其应用 (43)

实验十八 移位寄存器及其应用 (45) 实验一 万用表的使用 ——直流电压、直流电流和电阻的测量 一、实验目的 1.学会对万用表转换开关的使用和标度尺的读法,了解万用表的内部结构; 2.学会较熟练地使用万用表正确测量直流电和直流电流; 3.学会较熟练地使用万用表正确测量电阻。 二、实验器材 1.万用表 一块 2.面包板 一块 3.恒压电压源 一台 4.导线 若干根 5.电阻 若干只 三、实验内容及步骤 图1-1 1.电阻的测量 (1)未接成电路前分别测量图1-1电路的各个电阻的电阻值,将数据记录在表1;再 2 U S 2

按图1-1所示连成电路,并将图中各点间电阻的测量和计算数据记录在表2中,注意带上单位。 开启实训台电源总开关,开启直流电源单元开关,调节电压旋钮,对取得的直流电源进行测量,测量后将数据填入表1-2中。 表1-2直流电压、直流电流测量记录 万用表:主要用来测量交流直流电压、电流、直流电阻及晶体管电流放大位数等。现在常见的主要有数字式万用表和机械式万用表两种。 (1)数字式万用表 在万用表上会见到转换旋钮,旋钮所指的是测量的档位: V~:表示的是测交流电压的档位 V- :表示的是测直流电压档位 MA :表示的是测直流电流的档位 Ω(R):表示的是测量电阻的档位 HFE :表示的是测量晶体管电流放大位数 万用表的红笔表示接外电路正极,黑笔表示接外电路负极。优点:防磁、读数方便、准确(数字显示)。 (2)机械式万用表 机械式万用表的外观和数字表有一定的区别, 但它们俩的转挡旋钮是差不多的,档位也基本相同。在机械表上会见到有一个表盘,表盘上有八条刻度尺: 标有“Ω”标记的是测电阻时用的刻度尺 标有“~”标记的是测交流电压、电流时用的刻度尺 标有“HFE”标记的是测三极管时用的刻度尺

模电实验指导书

模拟电子技术实验 实验一常用电子仪器使用练习 一、实验目的 (1) 熟悉示波器旋钮的位置及作用; (2) 掌握用双踪示波器观察正弦信号波形和读取波形幅度和周期的方法; (3) 了解函数信号发生器、直流稳压电源的主要技术指标、性能及正确的使用方法。 二、实验原理 1、示波器 在模拟电子电路实验中,经常使用到示波器,示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。下面叙述一下它的使用方法: 1)寻找扫描光迹 将示波器Y轴显示方式置“CH1”或“CH2”,输入耦合方式置“地”,开机预热后,若在显示屏上不出现光点和扫描基线,可按以下操作去找到扫描基线: a、适当调节辉度旋钮。 b、触发方式开关置“自动”。 c、适当调节垂直(↑↓)、水平(←→)“位移”旋钮,使光迹位于屏幕中央。 2) 双踪示波器一般有五种显示方式,即“CH1”、“CH 2”、“CH1+ CH 2”三种单踪显示方式和“交替”、“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用;“断续”显示一般适宜于输入信号频率较低时使用。 3) 为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4) 触发方式开关通常先置于“自动”,若被显示的波形不稳定,可置触发方式开关于“触发”状态,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被测信号的波形不在X轴方向左右移动,这样的现象仍属于稳定显示。 5) 适当调节“扫描速率(TIME/DIV)”旋钮及“Y轴灵敏度(VOLTS/DIV)”旋钮使屏幕上显示一~二个周期的被测信号波形。在测量幅值时,应注意将“Y

《数字电路设计实训》实验指导书

数字电路设计实训实验指导书 编写人:许一男 审核人:金永镐 延边大学工学院 电子信息通信学科

目录 一、基础实验部分 实验一门电路逻辑功能及测试 (1) 实验二组合逻辑电路(半加器、全加器及逻辑运算) (5) 实验三 R-S,D,JK触发器 (9) 实验四三态输出触发器,锁存器 (12) 实验五集成计数器及寄存器 (15) 实验六译码器和数据选择器 (18) 实验七 555时基电路 (21) 二、选做实验部分 实验八时序电路测试机研究 (26) 实验九时序电路应用 (29) 实验十四路优先判决电路 (31) 三、创新系列(数字集成电路设计)实验部分 实验十一全加器的模块化程序设计与测试 (33) 实验十二串行进位加法器的模块化程序设计与测试 (35) 实验十三 N选1选择器的模块化程序设计与测试 (36)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机及示波器使用方法 二、实验仪器及材料 1. 双踪示波器 2. 器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路工作原理及相应逻辑表达式。 2. 熟悉所用集成电路的引线位置及引线用途。 3. 了解双踪示波器的使用方法。 四、实验容 实验前按学习机使用说明先检查学习机电源是否正 常,然后选择实验用的集成电路,按自己设计的实验 电路图接好连线,特别注意Vcc及接地线不能接错。 线接好后经实验指导教师检查无误方可通电实验。实 验中改动接线需先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能图1.1 (1)选用四输入与非门74LS20一只,插入面包板,按图1.1接线,输入端 接S 1~S 4 (电平开关输出端口),输出端接电平显示发光二极管(D 1 ~D 8 任意一个)。 (2)将电平开关按表1.1置位,分别测输出电压及逻辑状态。 表1.1

模电实验指导书

模电实验指导书-CAL-FENGHAI.-(YICAI)-Company One1

模电实验指导书电气工程系电工实验室基础教研室编

目录 实验一常用电子仪器的使用 .................................................................... 错误!未定义书签。实验二晶体管单管放大器实验 ................................................................ 错误!未定义书签。实验三集成运算放大器的基本应用 .......................................................... 错误!未定义书签。实验四RC正弦波振荡器........................................................................... 错误!未定义书签。实验五串联型稳压电源设计 .................................................................... 错误!未定义书签。实验六集成稳压器实验 ............................................................................ 错误!未定义书签。实验七功率放大电路 .................................................................................. 错误!未定义书签。实验八集成运算放大器组成万用电表 .................................................... 错误!未定义书签。 1

新版数字电路实验指导书

数字电子技术 实验指导书 适用专业:电子信息工程、应用电子 浙江师范大学电工电子实验教学中心

冯根良张长江 目录 实验项目 实验一门电路逻辑功能的测试……………………………………验证型(1)实验二组合逻辑电路Ⅰ(半加器全加器及逻辑运算)…………验证型(7)实验三组合逻辑电路Ⅱ(译码器和数据选择器)………………验证型(13)实验四触发器………………………………………………………验证型(17)实验五时序电路(计数器、移位寄存器)………………………验证型(22)实验六组合逻辑电路的设计和逻辑功能验证……………………设计型(27)实验七 D/A-A/D转换器……………………………………………设计型(34)实验八 555定时的应用……………………………………………设计型(41)实验九集成电路多种计数器综合应用……………………………综合型(46)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连

模电实验指导书2

实验一常用仪器仪表的使用(一) 1.实验目的 (1)掌握万用表、直流稳压电源的使用方法。 (2)学会使用万用表测量电阻,掌握线性电阻元件伏安特性的测试方法。 (3)识别和检测电阻的色环、数值、标称值、额定功率、精度。 2.实验仪器 万用表、直流稳压源DH1718D、电阻。 3.实验原理 1)直流稳压源 本实验采用直流稳压源DH1718D双路稳压稳流(CV/CC)跟踪电源是实验室通用电源。具有恒压、恒流工作功能,且这两种模式可随负载变化而进行自动转换。另外DH1718D具有串联主从工作功能,左边为主路,右为从路,在跟踪状态下,从路的输出电压随主路而变化。这对于需要对称且可调双极性电源的场合特别适用。使用方法如下: (1)左边的按键为左路仪表指示功能选择,按下时指示该路输出电流,否则指示该路输出电压。 (2)中间按键是跟踪/常态选择开关,将左路输出负端至右路输出正端之间加一短路线,按下此键后,开启电源开关,整机即工作在主----从跟踪状态。 (3)输出电压的调节亦在输出端开路时调节;输出电流的调节亦在输出短路时进行。 2)电阻的伏安特性 电阻元件是一种对电流呈现阻力的元件,有阻碍电流流动的性能。在电路中,线性电阻元件的值不随电压或电流大小的变化而改变,其两端的电压与流过它的电流成正比。线性电阻元件R的伏安特性满足欧姆定律,在电压U和电流I的参考方向相关联的条件下,U=IR 线性电阻元件的伏安特性还可以用其电流和电压的关系图形来表示,其伏安特性为一条通过坐标原点的直线,该直线斜率的倒数即为电阻值,它是一个常数。 3)色环阻值读值方法 电阻的阻值或直接标注在元件的外壳上,或是用不同的颜色的色环标注在元件的外壳上。色环电阻分为四色环和五色环,所谓四色环就是用四条有颜色的环代表阻值大小。 每种颜色代表不同的数字:

数字电子技术实验指导书

实验一 TTL集成逻辑门电路的参数的测试 一.预习要求 1.预习TTL与非门有关内容,阅读TTL电路使用规则。 2.与非门的功耗与工作频率和外接负载情况有关吗?为什么? 3.测量扇出系数的原理是什么?为什么一个门的扇出系数仅由输出低电平的扇出系书来决定? 4.为什么TTL与非门的输入引脚悬空相当于接高电平? 5.TTL门电路的闲置输入端如何处理? 二.实验目的 1.掌握TTL集成与非门的主要参数、特性的意义及测试方法。 2.学会TTL门电路逻辑功能的测试方法。 三.实验原理 TTL集成与非门是数字电路中广泛使用的一种逻辑门,本实验采用4输入双与非门74LS20,在一片集成块内含有两个互相独立的与非门,每个与非门有四个输入端。74LS20内部逻辑图及引脚排列如图1-1(a)、(b)所示。 图1-1(a)

1.与非门的逻辑功能 与非门的逻辑功能是:当输入端有一个或 一个以上的低电平时,输出端为高电平;只有 输入端全部为高电平时,输出端才是低电平。 (即有“0”得“1”,全“1”得“0”。) 对与非门进行测试时,门的输入端接数据 开关,开关向上为逻辑“1”,向下为逻辑“0”。 门的输出端接电平指示器,发光管亮为逻辑 “1”,不亮为逻辑“0”。基本测试方法是按真 值表逐项测试,但有时按真值表逐项进行测试 似嫌多余,对于有四个输入端的与非门,它有十六个最小项,实际上只要按表1-1所示的五项进行测试,便可以判断此门的逻辑功能是否正常。 表1-1 2.TTL与非门的主要参数 (1)导通电源电流I CCL与截止电源电流I CCH 与非门在不同的工作状态,电源提供的电流是不同的,I CCL是指输出端空载,所有输入端全部悬空,与非门处于导通状态,电源提供器件的电流。I CCH是指输出端空载,输入端接 输入输出 A n B n C n D n F1F2 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 图1-2(a)图1-2(b) 图1-1(b)

相关主题