搜档网
当前位置:搜档网 › verilog实现8字节比较器

verilog实现8字节比较器

verilog实现8字节比较器
verilog实现8字节比较器

《8字节比较器》实验报告

* By

* All right reserved

*

*/

module compare(qOut,a,b);

input [7:0]a,b; // 输入为两个8位的数

output qOut; // 输出为一个1位的数

reg qOut; // 输出qOut是一个寄存器类型的数

always @(a or b) // 当a 或者 b发生了变化,则条件触发 if(a>b) //如果a>b,则令输出为高电平

qOut =1;

else //如果a

qOut =0;

endmodule

2.编写测试模块test_compare.v如下

/**

* @File test_compare.v

* @Synopsis 这是8字节比较器的测试文件

* @Author 陈昊, https://www.sodocs.net/doc/ec16478013.html,@https://www.sodocs.net/doc/ec16478013.html,

* @Version 1

* @Date 2010-11-05

*/

/* Copyright(C) 2010-

* By 陈昊

* All right reserved

*/

`timescale 1ns/1ns //第一个1ns是时间单位,第二个1ns是时间精度

module test_compare; //测试向量的模块名是test

reg [7:0] a; //声明两个输入为寄存器类型,而且是8位reg [7:0] b;

wire qOut; //声明输出为线网类型,默认为1位

initial

begin

a=8'b10000000;

b=8'b00000000; // a > b,qOut = 1;

#10 a=8'b00001000; b=8'b00001111; // a < b,qOut = 0;

#10 a=8'b10001111; b=8'b00011111; // a > b,qOut = 1;

#10 a=8'b11111111; b=8'b11111111; // a = b,qOut = 0;

#10 a=8'b10101010; b=8'b00001111; // a > b,qOut = 1;

#10 $stop;

end

compare example(.qOut(qOut),.a(a),.b(b)); //实例引用compare模块

2.选择Altera STRATIX器件库利用Synplify Pro综合产生的RTL级电路如下

五、分析与讨论

1.该8字节比较器成功通过了综合和布局布线,分析发现,前仿真结果逻辑

第八章题解后半

8.14 试分别求解图P8.14所示各电路的电压传输特性。 图P8.14 解:图(a )所示电路为单限比较器,u O =±U Z =±8V ,U T =-3V ,其电压传输特性如解图P8.14(a )所示。 图(b )所示电路为过零比较器,U OL =-U D =-0.2V ,U OL =+U Z =+6V ,U T =0V 。其电压传输特性如解图P8.14(b )所示。 图(c )所示电路为反相输入的滞回比较器,u O =±U Z =±6V 。令 I N REF 2 12O 211P u u U R R R u R R R u ==?++?+= 求出阈值电压 U T1=0 V U T2=4 V 其电压传输特性如解图P8.14(c )所示。 图(d )所示电路为同相输入的滞回比较器,u O =±U Z =±6V 。令 V 3N O12 11I 212P ==?++?+= u u R R R u R R R u 得出阈值电压

V 5.7V 5.1T2T1==U U 其电压传输特性如解图P8.14(d )所示。 图(e )所示电路为窗口比较器,u O =±U Z =±5V ,±U T =±3V ,其电压传输特性如解图P8.14(e )所示。 解图P8.14 8.15 已知三个电压比较器的电压传输特性分别如图P8.15(a )、(b )、(c )所示,它们的输入电压波形均如图(d )所示,试画出u O1、u O2和u O3的波形。

图P8.15 解:根据三个电压比较器的电压传输特性画出在输入电压作用下它们的输出电压波形,如解图P8.15所示。 解图P8.15 8.16图P8.16所示为光控电路的一部分,它将连续变化的光电信号转换成离散信号(即不是高电平,就是低电平),电流I随光照的强弱而变化。 (1)在A1和A2中,哪个工作在线性区?哪个工作在非线性区?为什么? (2)试求出表示u O与i关系的传输特性。

集成数值比较器

集成数值比较器 1.集成数值比较器74LS85得功能 集成数值比较器74LS85是4位数值比较器,其功能如下: 从功能表可以看出,该比较器的比较原理和两位比较器的比较原理相同。两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数 相等,那么,比较步骤必须进行到最低位才能得到结果。

真值表中的输入变量包括A3与B3、A2与B2、A1与B1、A0与B0和A与B的比较结果。其中A和B是另外两个低位数,I A>B、I A

再根据74LS85的功能表可得: 上式与逻辑图一致。由上式可以看出,仅对4位数进行比较时,应对I A>B、I AB=I AB、I A

由图可以看出,这里采用两级比较方法,将16位按高低位次序分成4组,每组4位,各组的比较是并行进行的。将每组的比较结果再经4位比较器进行比较后得出结果。显然,从数据输入到稳定输出只需两倍的4位比较器延迟时间,若用串联方式,则16位的数值比较器从输入到稳定输出需要4倍的4位比较器的延迟时间。

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

模拟电子技术第8章正弦波振荡及电压比较器

一、选择题 (02 分)1.选择填空。 (1)利用正反馈产生正弦波振荡的电路,其组成主要是____。 A.放大电路、反馈网络 B.放大电路、反馈网络、选频网络 C.放大电路、反馈网络、稳频网络 (2)为了保证正弦波振荡幅值稳定且波形较好,通常还需要引入____环节。 A.微调,B.屏蔽,C.限幅,D.稳幅 (08 分)2.将图示的文氏电桥和集成运放A连接成一个正弦波振荡电路,试在下列各题中选择正确案填空。 (1)应按下列的方法____来连接: A.①-⑦,②-⑥,③-⑧,④-⑤; B.①-⑧,②-⑤,③-⑦,④-⑥; C.①-⑦,②-⑤,③-⑧,④-⑥; D.①-⑦,②-⑧,③-⑤,④-⑥; (2)若要降低振荡频率,应____。 A.增大R1;B.减小R2;C.减小C;D.增大R (3)若振荡器输出正弦波失真,应____。 A.增大R1;B.增大R2;C.增大C;D.增大R (08 分)3.在图(a)所示电路中,A为理想运算放大器,其输出电压的两个极限值为 12V。在不同情况下测得该电路的电压传输特性分别如图(b)、(c)、(d)、(e)所示。选择填空: (1)正常工作时,该电路的电压传输特性如图所示; (2)当A点断开时,该电路的电压传输特性如图所示; (3)当B点断开时,该电路的电压传输特性如图所示; (4)当C点断开时,该电路的电压传输特性如图所示。

***** 二、填空题 (06 分)1.在左下图示电路中,已知A 为理想运算放大器,其输出电压的两个极限值为±12V ;发光二极管正向导通时发光。填空: (1)集成运放同相输入端的电位+u ; (2)若1I u =6V , 2I u =-3V ,则≥3I u V 时发光二极管发光; (3)若2I u =2V , 3I u =-10V ,则≥1I u V 时发光二极管发光。 (06 分)2.在右上图示电路中,已知A 为理想运算放大器,其输出电压的两个极限值为±12V ;发光二极管正向导通时发光。填空: (1)集成运放同相输入端的电位+u ; (2)若2I u =1V ,3I u =4V ,则≥1I u V 时发光二极管发光;

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

模电答案第八章..

第8章波形的发生和信号的转换 自测题 一、改错:改正图T8.1所示各电路中的错误,使电路可能产生正弦波振荡。要求不能改变放大电路的基本接法(共射、共基、共集)。 (a) (b) 图T8.1 解:(a)加集电极电阻R c及放大电路输入端的耦合电容。 (b)变压器副边与放大电路之间加耦合电容,改同名端。 二、试将图T8.2所示电路合理连线,组成RC桥式正弦波振荡电路。 图T8.2 解:④、⑤与⑨相连,③与⑧相连,①与⑥相连,②与⑦相连。如解图T8.2所示。 解图T8.2

三、已知图T8.3(a)所示方框图各点的波形如图(b)所示,填写各电路的名称。 电路1为正弦波振荡电路,电路2为同相输入过零比较器, 电路3为反相输入积分运算电路,电路4 为同相输入滞回比较器。 (a) (b) 图T8.3 四、试分别求出图T8.4所示各电路的电压传输特性。 (a) (b) 图T8.4 解:图(a)所示电路为同相输入的过零比较器;图(b)所示电路为同相输入的滞回比较器,两个阈值电压为±U T =±U Z。两个电路的电压传输特性如解图T8.5所示。

解图T8.4 五、电路如图T8.5所示。 图T8.5 (1)分别说明A 1和A 2各构成哪种基本电路; (2)求出u O1与u O 的关系曲线u O1=f (u O ); (3)求出u O 与u O1的运算关系式u O =f (u O1); (4)定性画出u O1与u O 的波形; (5)说明若要提高振荡频率,则可以改变哪些电路参数,如何改变? 解:(1)A 1:滞回比较器;A 2:积分运算电路。 (2)根据12111112121 ()02 P O O O O N R R u u u u u u R R R R = ?+?=+==++ 可得:8T U V ±=± u O1与u O 的关系曲线如解图T8.5 (a)所示。 (3) u O 与u O1的运算关系式 1211121141 ()()2000()()O O O O O u u t t u t u t t u t R C =- -+=--+ (4) u O1与u O 的波形如解图T8.5(b)所示。 (5)要提高振荡频率,可以减小R 4 、C 、R l 或增大R 2。 (a) (b) 解图T8.5

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

数字电路二位数值比较器

数字电子技术基础 课程设计报告书 题目:2位数值比较器 姓名: 班级: 指导教师: 设计时间:2011年3月— 7月 民族大学数学与计算机学院 一、背景和编写目的 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了

解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。 比较器有2位数比较器,4位数比较器,8位数比较器等多种。本课程设计就是两位数比较器,可以实现2位二进制数值的比较。 二、EDA和VHDL的介绍 EDA技术 EDA技术的概念 EDA是电子设计自动化(E1echonics Des5p AM?toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。 EDA技术的特点 采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。VHDL语言 VHDL语言的简介 VHDL语言是一种用于电路设计的高级的硬件描述语言。其主要是应用在数字电路的设计中。在一些实力较为雄厚的单位,它常被用来设计ASIC。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点.与其他硬件描述语言相比,VHDL的特点: 1、功能强大、设计灵活: 它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计。VHDL支持自底向上的设计,又支持自顶向下的设计。 2、支持广泛、易于修改: 大多数EDA工具几乎都支持VHDL,故在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。 3、强大的系统硬件描述能力

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

测控电路第八章习题答案

第八章连续信号控制电路 8-1 简述典型PWM控制电路的基本结构。 典型的PWM控制电路主要有模拟式PWM控制电路和数字式PWM控制电路。 模拟式PWM控制电路主要由脉冲频率发生器和电压比较器构成,脉冲频率发生器一般用锯齿波发生器或三角波发生器。 数字式PWM控制电路主要由计数器和数字比较器或由定时电路和触发器构成。 8-2 PWM控制电路在双极式工作时会不会发生电流断续现象?为什么? PWM控制电路在双极式工作时不会发生电流断续现象。因为四个大功率管分为两组,同一组中的两个晶体管同时导通、同时关断,两组晶体管之间交替地轮流导通和截止,电流可以反向,使得电枢电流始终是连续的。 8-3 图8-12所示电路在变为单极式控制时,当负载很轻的情况下电流会在一个周期内来回变向,试分析此时V1、V2、V3、V4的开关情况,并绘出电压、电流波形。 单极式控制是指在一个开关周期之内,电动机电枢两端的调制脉冲是单一极性的。在这里,u b1= -u b3具有和双极式一样的正、负交替的脉冲波形,使V1、V3交替导通,至于V2和V4的驱动,则因电动机的转向而施加不同的控制信号。要电动机正转,u b2恒为负,u b4恒为正,使V2截止而V4常通。希望电动机反转时,则u b2恒为正,u b4恒为负,使V2常通而V4截止。在负载较重时,电流方向不变,0≤t<τ之间,V1、V4导通,V2、V3截止,U AB=+E;τ≤t<T之间,V4导通,V D3续流,V1、V2截止,V3不通,U AB=0。当负载很轻的情况下,τ≤t<T 之间的某一刻电枢电流经V D3续流减小到零时,则在反向电动势的作用下使V3导通,电枢电流改变方向经V D4续流从B流向A,这时V4不通,电动机进行能耗制动;0≤t<τ之间,回馈制动电流经V D4、V D1续流减小到零后,处于饱和状态的V1、V4将电动机和电源接通,电枢电流改变方向从A流向B,波形见图X8-1。

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

模拟电子技术基础童诗白第3版习题答案第八章

第八章 波形的发生和信号的转换 自测题 一、(1)√ (2)× (3)× (4)× 二、(a )加集电极电阻R c 及放大电路输入端的耦合电容。 (b )变压器副边与放大电路之间加耦合电容,改同铭端。 三、④、⑤与⑨相连,③与⑧相连,①与⑥相连,②与⑦相连。 四、(1)正弦波振荡电路 (2)同相输入过零比较器 (3)反相输入积分运算电路 (4)同相输入滞回比较器 五、图(b =±0.5 U 。 六、(1)A 1:滞回比较器;A 2:积分运算电路。 (2) (3))()(2000)()(1 1O 12O11O 12O14O t u t t u t u t t u C R u +--=+-- = (4) (5)减小R 4、C 、R 1,增大R 2。 习题 8.1 (1)√ (2)× (3)× (4)× (5)× (6)√ 8.2 (1)× (2)√ (3)√ (4)× (5)√ (6)× 8.3 (1)A (2)B (3)C

8.4 (1)B A C (2)B C A (3)B 8.5 (a )可能产生正弦波振荡。因为共射放大电路输出电压和输入电压反相(φA =-180o ),而三级移相电路为超前网络,最大相移为+270o ,因此存在使相移为+180o (φF =+180o )的频率,即存在满足正弦波振荡相位条件的频率f 0(此时φA +φF =0o );且在f =f 0时有可 能满足起振条件F A >1,故可能产生正弦波振荡。 (b )可能产生正弦波振荡。因为共射放大电路输出电压和输入电压反相(φA =-180o ),而三级移相电路为滞后网络,最大相移为-270o ,因此存在使相移为-180o (φF =+180o )的频率,即存在满足正弦波振荡相位条件的频率f 0(此时φA +φF =-360o );且在f =f 0时 有可能满足起振条件F A >1,故可能产生正弦波振荡。 8.6 (1)不能,因为不存在满足相位条件的频率。 (2)可能,因为存在满足相位条件的频率,且有可能满足幅值条件。 8.7 (1)根据起振条件k Ω22' ' >,>W W f R R R R +。 (2)求解振荡频率的范围。 Hz 145)π(21kHz 6.1π21 21max 01max 0≈+=≈=C R R f C R f 8.8 (1)V 36.62 5.1Z o ≈=U U (2)Hz 95.9π21 0≈= RC f 8.9 (1)上“-”下“+” (2)输出严重失真,几乎为方波。 (3)输出为零。 输出为零。 (5)输出严重失真,几乎为方波。 8.10(1)在特定频率下,由A 2组成的积分运算电路的输出电压O2U 超前输入电压O1U 90o ,而由A 1组成的电路的输出电压O1U 滞后输入电压O2U 90o ,因而O1U 和O2 U 互为依存条件,即存在f 0满足相位条件。在参数选择合适时也满足幅值条件,故电路在两个集成运放的输出 同时产生正弦和余弦信号。 (2)解方程组: ????? ??? ???-=?-=-+-?+==251O O21 1P 31O 1P 41O 1P 1 O 2111N 1P C C R j U U j U R U U R U U U R R R U U ωω

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

模拟电子技术基础第八章

第8章 波形的发生和信号的转换 习题 8.1判断下列说法是否正确,用“√”和“×”表示判断结果。 (1)在图T8.1所示方框图中,产生正弦波振荡的相位条件是A F ??=。( × ) (2)因为RC 串并联选频网络作为反馈网络时的0o F ?=,单管共集放大电路的0o A ?=,满足正弦波振 荡电路的相位条件π ??n A F 2=+,故合理连接它们可以构成正弦波振荡电路。( × ) (3)在RC 桥式正弦波振荡电路中,若RC 串并联选频网络中的电阻均为R ,电容均为C ,则其振荡频率 1/o f RC =。( × ) (4)电路只要满足 1=F A ,就一定会产生正弦波振荡。( × ) (5)负反馈放大电路不可能产生自激振荡。( × ) (6)在LC 正弦波振荡电路中,不用通用型集成运放作放大电路的原因是其上限截止频率太低。( √ ) 8.2判断下列说法是否正确,用“√”和“×”表示判断结果。 (1) 为使电压比较器的输出电压不是高电平就是低电平,就应在其电路中使集成运放不是工作在开环状态,就是仅仅引入正反馈。 ( √ ) (2)如果一个滞回比较器的两个阈值电压和一个窗口比较器的相同,那么当它们的输入电压相同时,它们的输出电压波形也相同。( × ) (3)输入电压在单调变化的过程中,单限比较器和滞回比较器的输出电压均只跃变一次。( √ ) (4)单限比较器比滞回比较器抗干扰能力强,而滞回比较器比单限比较器灵敏度高。( × ) 8.3选择合适答案填入空内。 A.容性 B.阻性 C.感性 (1)LC 并联网络在谐振时呈( B );在信号频率大于谐振频率时呈( A );在信号频率小于谐振频率时呈( C )。 (2)当信号频率等于石英晶体的串联谐振频率时,石英晶体呈( B );当信号频率在石英晶体的串联谐振频率和并联谐振频率之间时,石英晶体呈 ( C );其余情况下,石英晶体呈( A )。 (3)信号频率o f f =时,RC 串并联网络呈( B )。 8.4判断图P8.4所示各电路是否可能产生正弦波振荡,简述理由。设图(b)中C 4容量远大于其它三个电容的容量。 (a) (b)

8位数值比较器4585电路的设计

08级学生数字电路课 程设计 数字电路课程设计报告书 课题名称8位数值比较器的设计 姓名张龙 学号0812501*04 院、系、部物理与电信工程系 专业电子科学与技术 指导教师张学军 2010年06月23日

一、设计任务及要求: 设计任务: 设计一个8位数值比较电路 要求: 接通电源后,高电平(5V)为对应逻辑输入1;低电平(接地,0V)对应逻辑输入0。由单刀双掷开关实现逻辑0和1之间的状态转换。要求能够比较输入的任意一组8位二进制数。 指导教师签名: 2010年06月23日 二、指导教师评语: 指导教师签名: 2010年06月日三、成绩 验收盖章: 2010年06月日

8位数值比较器4585电路的设计 1 设计目的 (1)学习数值比较器在组合电路中的应用及数值比较器功能的拓展; (2)熟悉数值比较电路的工作原理; (3)熟悉数码管的使用; (4)了解简单数字系统实验、调试以及故障排除方法。 2 设计思路 (1)设计一个8位二进制数值的输入电路; (2)设计一个反馈电路显示输入的8位二进制数值的大小; (3)通过比较器对所输入的数值进行比较。 3 设计过程 3.1方案论证 →→→→ 注意:因比较器比较得来的结果可从数码管处验证;故设计可行。 3.2电路设计 8位数值输入部分在接通电源后,高电平(5V )为对应逻辑输入1;低电平(接地,0V )对应逻辑输入0。由单刀双掷开关实现逻辑0和1之间输入的状态转换。 3.3电路的基本组成与工作原理 电路的基本组成原理总图所下图1示。主要由两片4位4585数值比较器、四个数码管、三个显示灯、16个单刀双掷开关及一个5V 电源组成。 8位数值输入电路 利用数码管客观显示所输入数值 通过比较器比较两值的大小

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

相关主题