搜档网
当前位置:搜档网 › 计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案
计算机组成原理期末考试试题及答案

计算机组成原理试题

一、选择题(共20分,每题1分)

1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。

A.立即数和栈顶;

B.暂存器;

C.栈顶和次栈顶;

D.累加器。

2.______可区分存储单元中存放的是指令还是数据。

A.存储器;

B.运算器;

C.控制器;

D.用户。

3.所谓三总线结构的计算机是指______。

A.地址线、数据线和控制线三组传输线。

B.I/O总线、主存总统和DMA总线三组传输线;

C.I/O总线、主存总线和系统总线三组传输线;

D.设备总线、主存总线和控制总线三组传输线.。

4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。

A.128K;

B.64K;

C.64KB;

D.128KB。

5.主机与设备传送数据时,采用______,主机与设备是串行工作的。

A.程序查询方式;

B.中断方式;

C.DMA方式;

D.通道。

6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示 -1,补码可以表示 -1;

B.三种机器数均可表示 -1;

C.三种机器数均可表示 -1,且三种机器数的表示范围相同;

D.三种机器数均不可表示 -1。

7.变址寻址方式中,操作数的有效地址是______。

A.基址寄存器内容加上形式地址(位移量);

B.程序计数器内容加上形式地址;

C.变址寄存器内容加上形式地址;

D.以上都不对。

8.向量中断是______。

A.外设提出中断;

B.由硬件形成中断服务程序入口地址;

C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

D.以上都不对。

9.一个节拍信号的宽度是指______。

A.指令周期;

B.机器周期;

C.时钟周期;

D.存储周期。

10.将微程序存储在EPROM中的控制器是______控制器。

A.静态微程序;

B.毫微程序;

C.动态微程序;

D.微程序。

11.隐指令是指______。

A.操作数隐含在操作码中的指令;

B.在一个机器周期里完成全部操作的指令;

C.指令系统中已有的指令;

D.指令系统中没有的指令。

12.当用一个16位的二进制数表示浮点数时,下列方案中第_____种最好。

A.阶码取4位(含阶符1位),尾数取12位(含数符1位);

B.阶码取5位(含阶符1位),尾数取11位(含数符1 位);

C.阶码取8位(含阶符1位),尾数取8位(含数符1位);

D.阶码取6位(含阶符1位),尾数取12位(含数符1位)。

13.DMA方式______。

A.既然能用于高速外围设备的信息传

送,也就能代替中断方式;

B.不能取代中断方式;

C.也能向CPU请求中断处理数据传送;

D.内无中断机制。

14.在中断周期中,由______将允许中断触发器置“0”。

A.关中断指令;

B.机器指令;

C.开中断指令;

D.中断隐指令。

15.在单总线结构的CPU中,连接在总线上的多个部件______。

A.某一时刻只有一个可以向总线发送数据,并且只有一个可以从总线接收数据;

B.某一时刻只有一个可以向总线发送数据,但可以有多个同时从总线接收数据;

C.可以有多个同时向总线发送数据,并且可以有多个同时从总线接收数据;

D.可以有多个同时向总线发送数据,但可以有一个同时从总线接收数据。

16.三种集中式总线控制中,______方式对电路故障最敏感。

A.链式查询;

B.计数器定时查询;

C.独立请求;

D.以上都不对。

17.一个16K×8位的存储器,其地址线和数据线的总和是______。

A.48;

B.46;

C.17;

D.22.

18.在间址周期中,______。

A.所有指令的间址操作都是相同的;

B.凡是存储器间接寻址的指令,它们的

操作都是相同的;

C.对于存储器间接寻址或寄存器间接寻址的指令,它们的操作是不同的;

D.以上都不对。

19.下述说法中______是正确的。

A.EPROM是可改写的,因而也是随机存储器的一种;

B.EPROM是可改写的,但它不能用作为随机存储器用;

C.EPROM只能改写一次,故不能作为随机存储器用;

D.EPROM是可改写的,但它能用作为随机存储器用。

20.打印机的分类方法很多,若按能否打印汉

字来区分,可分为______。

A.并行式打印机和串行式打印机;

B.击打式打印机和非击打式打印机;

C.点阵式打印机和活字式打印机;

D.激光打印机和喷墨打印机。

二、填空(共20分,每空1分)

1.设浮点数阶码为8位(含1位阶符),尾数

为24位(含1位数符),则32位二进制补码浮点

规格化数对应的十进制真值范围是:最大正数为

A ,最小正数为

B ,最大负数为

C ,最

小负数为 D 。

2.指令寻址的基本方式有两种,一种是 A

寻址方式,其指令地址由 B 给出,另一种

是 C 寻址方式,其指令地址由 D

给出。

3.在一个有四个过程段的浮点加法器流水线

中,假设四个过程段的时间分别是T1 = 60ns﹑T2 =

50ns﹑T3 = 90ns﹑T4 = 80ns。则加法器流水线的时

钟周期至少为 A 。如果采用同样的逻辑电路,

但不是流水线方式,则浮点加法所需的时间为

B 。

4.一个浮点数,当其尾数右移时,欲使其值

不变,阶码必须 A 。尾数右移1位,阶

码 B 。

5.存储器由m(m=1,2,4,8…)个模块组

成,每个模块有自己的 A 和

B 寄存器,若存储器采用

C 编址,存储器带宽可增加到原来的

D 倍。

6.按序写出多重中断的中断服务程序包括

A 、

B 、

C 、

D 和中断返回几部分。

三、名词解释(共10分,每题2分)

1.微操作命令和微操作 2.快速缓冲存储器 3.基址寻址 4.流水线中的多发技术 5.指令字长 四、计算题(5分)

设机器数字长为8位(含1位符号位),设A =

64

9

,B =3213-,计算[A ±B ]补,并还原成真值。

五、简答题(共20分)

1.异步通信与同步通信的主要区别是什么,说明通信双方如何联络。(4分)

2.为什么外围设备要通过接口与CPU 相连?接口有哪些功能?(6分) 六、问答题(共15分)

1.设CPU 中各部件及其相互连接关系如下图所示。图中W 是写控制标志,R 是读控制标志,R 1和R 2是暂存器。(8分)

(1)假设要求在取指周期由ALU 完成 (PC) + 1→PC 的操作(即ALU 可以对它的一个源操作数完成加1的运算)。要求以最少的节拍写出取指周期全部微操作命令及节拍安排。

(2)写出指令ADD # α(#为立即寻址特征,隐含的操作数在ACC 中)在执行阶段所需的微操作命令及节拍安排。

2.DMA 接口主要由哪些部件组成?在数据交换过程中它应完成哪些功能?画出DMA 工作过程的流程图(不包括预处理和后处理) 七、设计题(10分)

设CPU 共有16根地址线,8根数据线,并用

MREQ 作访存控制信号(低电平有效),用WR 作

读写控制信号(高电平为读,低电平为写)。现有下列芯片及各种门电路(门电路自定),如图所示。画出CPU 与存储器的连接图,要求:

(1)存储芯片地址空间分配为:最大4K 地址空间为系统程序区,相邻的4K 地址空间为系统程序工作区,最小16K 地址空间为用户程序区;

(2)指出选用的存储芯片类型及数量; (3)详细画出片选逻辑。

74138译码器D n D 0RAM: 1K×4位

2K×8位 8K×8位 16K×1位 4K×4位

ROM: 2K×8位

8K×8位 32K×8位

D n D 0

2A G 2B G 7

Y 0Y G 1, , 为控制端C, B, A 为变量控制端 …… 为输出端

(1)主存地址空间分配:

6000H ~67FFH 为系统程序区; 6800H ~6BFFH 为用户程序区。

(2)合理选用上述存储芯片,说明各选几片? (3)详细画出存储芯片的片选逻辑图。

答案:

一、选择题(共20分,每题1分) 1.C 2.C 3.B 4. B 5.A 6.B 7.C 8.C 9.C 10.A 11.D 12.B 13.B 14.D 15.B 16.A 17.D 18

C

19.B

20.C 二、填空(共20分,每空1分) 1.A .A .2127

(1-2-23

)

B .2

-129

C .2-128(-2-1-2-23)

D .-2127

2.A . 顺序 B .程序计数器 C .跳跃 D . 指令本身

3.A .90ns B .280ns 4.A .A .增加 B .加1 5.A .地址

B .数据

C .模m

D .m 6.A .保护现场 B .开中断 C .设备服务 D .恢复现场 三、名词解释(共10分,每题2分) 1.微操作命令和微操作

答:微操作命令是控制完成微操作的命令;微操作是由微操作命令控制实现的最基本操作。 2.快速缓冲存储器

答:快速缓冲存储器是为了提高访存速度,在CPU 和主存之间增设的高速存储器,它对用户是透明的。只要将CPU 最近期需用的信息从主存调入缓存,这样CPU 每次只须访问快速缓存就可达到访问主存

的目的,从而提高了访存速度。 3.基址寻址

答:基址寻址有效地址等于形式地址加上基址寄存

器的内容。 4.流水线中的多发技术

答:为了提高流水线的性能,设法在一个时钟周期(机器主频的倒数)内产生更多条指令的结果,这就是流水线中的多发技术。 5.指令字长

答:指令字长是指机器指令中二进制代码的总位数。 四、(共5分)

计算题 答:[A +B ]补=1.1011110, A +B =(-17/64)

[A -B ]补=1.1000110, A -B

=(35/64)

五、简答题(共20分) 1.(4分)答:

同步通信和异步通信的主要区别是前者有公共时钟,总线上的所有设备按统一的时序,统一的传输周期进行信息传输,通信双方按约定好的时序联络。后者没有公共时钟,没有固定的传输周期,采用应答方式通信,具体的联络方式有不互锁、半互锁和全互锁三种。不互锁方式通信双方没有相互

制约关系;半互锁方式通信双方有简单的制约关系;全互锁方式通信双方有完全的制约关系。其中全互锁通信可靠性最高。

2.(6分,每写出一种给1分,最多6分)

答:外围设备要通过接口与CPU相连的原因主要有:(1)一台机器通常配有多台外设,它们各自有其设备号(地址),通过接口可实现对设备的选择。

(2)I/O设备种类繁多,速度不一,与 CPU 速度相差可能很大,通过接口可实现数据缓冲,达到速度匹配。

(3)I/O设备可能串行传送数据,而CPU一般并行传送,通过接口可实现数据串并格式转换。

(4)I/O设备的入/出电平可能与CPU的入/出电平不同,通过接口可实现电平转换。

(5)CPU启动I/O设备工作,要向外设发各种控制信号,通过接口可传送控制命令。

(6)I/O设备需将其工作状况(“忙”、“就绪”、“错误”、“中断请求”等)及时报告CPU,通过接口可监视设备的工作状态,并保存状态信息,供CPU 查询。

可见归纳起来,接口应具有选址的功能、传送命令的功能、反映设备状态的功能以及传送数据的功能(包括缓冲、数据格式及电平的转换)。4.(5分)答:

(1)根据IR和MDR均为16位,且采用单字长指令,得出指令字长16位。根据105种操作,取操作码7位。因允许直接寻址和间接寻址,且有变址寄存器和基址寄存器,因此取2位寻址特征,能反映四种寻址方式。最后得指令格式为:

7 2 7

其中 OP 操作码,可完成105种操作;

M 寻址特征,可反映四种寻址方式;

AD形式地址。

这种格式指令可直接寻址27 = 128,一次间址的寻址范围是216 = 65536。

(2)双字长指令格式如下:

7 2 7

其中 OP、M的含义同上;

AD1∥AD2为23位形式地址。

这种格式指令可直接寻址的范围为223 = 8M。

(3)容量为8MB的存储器,MDR为16位,即对应4M×16位的存储器。可采用双字长指令,直接访问4M存储空间,此时MAR取22位;也可采用单字长指令,但R X和R B取22位,用变址或基址寻址访问4M存储空间。

六、(共15分)问答题

1.(8分)答:

(1)由于 (PC) + 1→PC需由ALU完成,因此PC的值可作为ALU的一个源操作数,靠控制ALU做+1运算得到 (PC) + 1,结果送至与ALU输出端相连的R2,然后再送至PC。

此题的关键是要考虑总线冲突的问题,故取指周期的微操作命令及节拍安排如下:

T0PC→MAR,1→R

T1M(MAR)→MDR,(PC) + 1→R2

T2MDR→IR,OP(IR)→微操作命令形成部件

T3 R2→PC

(2)立即寻址的加法指令执行周期的微操作命令及节拍安排如下:

T0Ad(IR)→R1;立即数→R1

T1 (R1) + (ACC)→R2;ACC通过总线送ALU

T2 R2→ACC;结果→ACC 2.(7分)答:DMA接口主要由数据缓冲寄存器、主存地址计数器、字计数器、设备地址寄存器、中断机构和DMA控制逻辑等组成。在数据交换过程中,DMA接口的功能有:(1)向CPU提出总线请求信号;(2)当CPU发出总线响应信号后,接管对总线的控制;(3)向存储器发地址信号(并能自动修改地址指针);(4)向存储器发读/写等控制信号,进行数据传送;(5)修改字计数器,并根据传送字数,判断DMA传送是否结束;(6)发DMA结束信号,向CPU申请程序中断,报告一组数据传送完毕。DMA 工作过程流程如图所示。

七、设计题(共10分)

答:

(1)主存地址空间分配。(2分)

A 15 … A 11 … A 7 … … A 0

???

?

?

??

0000000000001111111111111110111100000000000111111111111111111111最大4K 2K ×8位ROM 2片

?

??

00000000000001111111111111110111相邻4K 4K ×4位RAM 2片

???

?

?

??

1111111111111100000000000000010011111111111110000000000000000000最小16K 8K ×8位RAM 2片(2)根据

主存地址空间分配

最大4K 地址空间为系统程序区,选用2片2K ×8位ROM 芯片;(1分) 相邻的4K 地址空间为系统程序工作区,选用2片4K ×4位RAM 芯片;(1分) 最小16K 地址空间为用户程序区,选用2片8K ×8位RAM 芯片。(1分) (3)存储芯片的片选逻辑图(5分)

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下: 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下: 4.假设主脉冲源频率为10MHz,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解: 5.如果在一个CPU周期中要产生3个节拍脉冲;T l =200ns,T 2 =400ns, T 3 =200ns,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2 、T 3 的宽度为时钟周期或者是时钟周期的倍数即可。 所以取时钟源提供的时钟周期为200ns,即,其频率为5MHz.;由于要输

出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下: 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:80条指令,平均每条指令由4条微指令组成,其中有一条公用微指令,所以总微指令条数为80? (4-1)+1=241条微指令,每条微指令32位,所以控存容量为:241?32位 7.某ALU 器件是用模式控制码M S 3 S 2 S 1 C 来控制执行不同的算术运算和逻辑操作。下表列出各条指令所要求的模式控制码,其中y 为二进制变量,φ为0或l 任选。 试以指令码(A ,B ,H ,D ,E ,F ,G)为输入变量,写出控制参数M ,S 3,S 2,S l ,C 的逻辑表达式。 解:

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理期末考试A卷-含答案

广东外语外贸大学信息学院计算机系 2004—2005学年第2学期 《计算机组成原理》期末考试试卷A 考卷适用班级:计算机专业03级考试时间:120分钟 班级_______ 学号_____________姓名_________成绩_______ 一、填空题(每空1分,共20分) 1.8位二进制补码表示整数的最小值为__-128____,最大值为__127___。 2.计算机常用的校验码有奇偶校验码、海明校验码、____CRC码_____。 3.一个浮点数,当其补码尾数右移1位时,为使其值不变,阶码应该__加1____。4.ALU的基本逻辑结构是__快速进位__加法器,它比行波进位加法器优越,具有先行进位逻辑,不仅可以实现高速运算,还能完成逻辑运算。 5.采用双符号位的方法进行溢出检测时,若运算结果中两个符号位__不相同__,则表明发生了溢出。 6.要组成容量为4M×8位的存储器,需要__8__片4M×1位的存储器芯片并联,或者需要__4____片1M×8位的存储器芯片串联。 7.一台计算机所具有的各种机器指令的集合称为该计算机的__指令系统__。 8.指令编码中,操作码用来指定__操作的类型__,n位操作码最多可以表示___2n____条指令。 9.CPU中,保存当前正在执行的指令的寄存器为__指令寄存器IR_,保存下一条指令地址的寄存器为_程序计数器PC__,保存CPU访存地址的寄存器为__内存地址寄存器AR__。10.控制器在生成各种控制信号时,必须按照一定的__时序__进行,以便对各种操作实施时间上的控制。 11.微程序控制器的核心部件是存储微程序的__控制存储器____,它一般用_只读存储器_构成。 12.任何指令周期的第一步必定是__取指__周期。 13.异步方式下,总线操作周期时间不固定,通过_握手(就绪/应答)_信号相互联络。14.输入输出操作实现的CPU与I/O设备的数据传输实际上是CPU与__IO设备接口寄存器__之间的数据传输。 二、选择题(每小题1分,共20分) 1.冯·诺曼机工作方式的基本特点是___________。 A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2.主机中能对指令进行译码的器件是_________。 A.ALU B.运算器 C.控制器D.存储器 3.运算器的主要功能是进行_______。 A.逻辑运算B.算术运算

相关主题