搜档网
当前位置:搜档网 › Multisim使用手册

Multisim使用手册

Multisim使用手册
Multisim使用手册

Multisim使用手册

第一章Multisim2001 基本界面

启动Windows“开始”菜单中的Multisim2001,打开Multisim2001的基本界面。从中我们可以看出,Multisim2001基本界面主要由菜单栏(Menus)、系统工具栏(System Toolbar)、Multisim的设计工具栏(Multisim Design Bar)、使用中的元件列表(In Use List)、仿真开关(Simulate)、元件工具栏(Component Toolbar)、连接https://www.sodocs.net/doc/f014474762.html,按钮、仪表工具栏(Instruments Toolbar)、电路窗口(Circuit Window)和状态栏(Status line)等项组成。

1.1 菜单栏

与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim2001菜单栏包含着9个主菜单,如图2-2-1所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Options(选项菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单,用户可以从中找到电路文件的存取、SPICE文件的输入和输出、电路图的编辑、电路的仿真与分析及在线帮助等各项功能的命令。

1-1 菜单栏

1.File(文件)菜单:主要用于管理所创建的电路文件,如打开、保存和打印

等,如下图所示:

它的下级命令及功能如下:

New: 提供一个空白窗口以建立一个新文件。

Open: 打开一个已存在的*.msm、*.ewb或*.utsch等格式的文件。

Close: 关闭当前工作区内的文件。

Save: 将工作区内的文件以*.msm的格式存盘。

Save as: 将工作区内的文件换名存盘,仍为*.msm格式。

Print Circuit:打印当前工作区内的电原理图,其中包括Print(打印)、Print Preview(打印预览)和Print Circuit Setup(打印电路设置)命令。

Print Reports: 列表打印当前工作区内所编辑的电路图中的元器件(Bill of Materials)或元库(Database Family)或元器件的详细资料(Component Detail Report)。

Print Instruments: 选择打印当前工作区内的仪表波形图。

Print Setup: 打印机设置。

Recent Files: 最近几次打开过的文件,可选其中一个打开。

New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能,故不再介绍。

2.Edit(编辑)菜单: 主要用于在电路绘制过程中,对电路和元件进行各

种技术性处理,如下图所示:

其中Cut(剪切)、Copy(拷贝)等大多数命令与一般Windows应用软件基本相同,又加了两种不同的菜单命令:

Undo: 撤消操作。

Component Properties: 打开一个已被选中的元件属性对话框,在其中可对该元件的参数值、标识符等信息进行读取或修改。

3.View(窗口显示)菜单:用于确定仿真界面上显示的内容以及电路图的

缩放和元件的查找,如下图所示:

View菜单中的命令及功能如下:

Toolbars: 选择工具栏。

Component Bars: 选择元件库。

Status Bar: 显示状态栏。

Show Simulation Error Log/Audit Trail: 显示仿真的错误记录/检查仿真踪迹。

Show Xspice Command Line Interface: 显示XSpice命令行界面。

Show Grapher: 显示图表。

Show Simulate Switch: 显示仿真开关。

Show Text Description Box: 显示文本描述框。

Show Grid: 显示栅格。

Show page Bound: 显示纸张边界。

Show Title Block and Border: 显示标题栏和边界。

Zoom In: 电原理图放大。

Z oom Out: 查找电原理图中的元件。

4.Place(放置)菜单: 提供在电路窗口内放置元件、连接点、总线和文字

等命令,如下图所示:

其下拉菜单为:

Place Component: 放置一个元件。

Place Junction: 放置一个节点。

Place Bus: 放置一根总线。

Place Input/Output: 放置一个输入/输出端。

Place Text: 放置文字。

Place Text Description Box: 放置一个文本描述框。

Replace Component: 替换元件。

Place as Subcircuit: 放置一个子电路。

Replace by Subcircuit: 用一个子电路替换。

5.Simulate (仿真)菜单: 提供电路仿真设置与操作命令,如下图所示

Simulate菜单中的命令及功能如下:

Rum: 运行仿真开关。

Pause: 暂停仿真。

Default Instrument Settings: 打开预置仪表设置对话框。

Digital Simulation Settings: 选择数字电路仿真设置。

Instruments: 选择仿真仪表。

Analyses: 选择仿真分析法。

Postprocess: 打开后处理器对话框。

Auto Fault Option:自动设置电路故障。

Global Component Tolerance: 全局元件容差设置。

6.Transfer (文件输出)菜单: 提供将仿真结果传递给其他软件处理的命

令,如下图所示:

Transfer菜单中的命令及功能如下:

Transfer to Ultiboard: 传送给Ultiboard。

Transfer to other PCB Layout:传送给其他PCB版图软件。

Backannotate from Ultiboard: 从Ultiboard返回的注释。

Export Simulation Results to MathCAD: 仿真分析的结果输出到MathCAD。 Export Simulation Results to Excel: 仿真分析的结果输出到Excel。 Export Netlist: 输出网表。

7.Tools (工具) 菜单: 主要用于编辑或管理元器件和元件库,如下图所

示:

Tools菜单中的命令及功能如下:

Create Component: 打开创建元件对话框。

Edit Component: 打开编辑元件对话框。

Copy Component: 打开拷贝元件对话框。

Delete Component: 打开删除元件对话框。

Database Management: 打开元件库管理对话框。

Update Components: 升级元件。

Remote Control/Design Sharing: 远程控制/设计共享。

https://www.sodocs.net/doc/f014474762.html,: 连接https://www.sodocs.net/doc/f014474762.html,网站。

8.Options (选项) 菜单: 用于定制电路的界面和电路某些功能的设定,如

下图所示:

Options菜单中的命令及功能如下:

Preferences:打开参数选择对话对话框。

Modify Title Block: 修改标题块内容。

Simplified Version: 简化版本。

Global Restriction: 全局限制设置。

Circuit Restrictions: 电路限制。

9.Help (帮助) 菜单: 帮助菜单主要为用户提供在线技术帮助和使用指

导,如下图所示:

菜单中的命令及功能如下:

Multisim Help: 帮助主题目录。

Multisim Reference: 帮助主题索引。

Release Notes: 版本注释。

About Multisim: 有关Multisim的说明。

1.2 系统工具栏

系统工具栏包含了常用的基本功能按钮,如新建、打开、保存、打印、放大和缩小等,与Windows的基本功能相同,如下图所示:

1.3设计工具栏

设计工具栏如下图所示:

该工具栏是Multisim的核心,使用它可进行电路的建立、仿真及分析,并最终输出设计数据等。虽然前述菜单中也可以执行这些设计功能,但使用设计工具栏进行电路设计将会更方便易用。这9个设计工具栏按钮从左至右分别为: 元件编辑器按钮(Component Editor): 用以调整或增加元件。

仪表按钮(Instruments): 用以给电路添加仪表或观察仿真结果。

仿真按钮(Simulate): 用以确定开始、暂停或结束电路仿真。

分析按钮(Analysis): 用以选择要进行的分析。

后分析器按钮(Postprocessor): 用以进行对仿真结果的进一步操作。

VHDL/Verilog按钮: 用以使用VHDL模型进行设计(教育版不具备该功能) 。

报告按钮(Report): 用以打印有关电路的报告(材料清单、元件列表和元件细节) 。

传输按钮(Transfer): 用以与其他程序如Ultiboard进行通信。也可以将仿真结果输出到像MathCAD和Excel这样的应用程序。

1.4 元件工具栏

Multisim将所有的元件模型分门别类地放到14个元件分类库中,每个元件库放置同一类型的元件。由这14个元件库按钮(以元件符号区分) 组成的元件工具栏,通常放置在工作窗口的左边,在这里为了编写方便,将元件工具栏横向放置,如下图所示:

从左至右分别是: 电源库(Sources)、基本元件库(Basic)、二极管库(Diodes Components)、晶体管库(Transistors Components)、模拟元件库(Analog Components)、TTL器件库(TTL)、CMOS器件库(CMOS)、各种数字元件库(Misc.Digital Components)、混合器件库(Mixed Components)、指示器件库(Indicators Components)、其他器件库(https://www.sodocs.net/doc/f014474762.html,ponents)、控制器件库(Controls Components)、射频元件库(RF Components)和机电类器件库(Electro-Mechanical Components)。

1.5 仪表工具栏

该工具栏含有11种用来对电路工作状态进行测试的仪器仪表,习惯上将其工具栏放置于工作台的右边,这里也是为了方便,将其横向排列,如下图所示:

从上至下分别是: 数字万用表(Multimeter)、函数信号发生器(Function Generator)、瓦特表(Wattmeter)、示波器(Oscilloscope)、波特指示器(Bode Plotter)、字信号发生器(Word Generator)、逻辑分析仪(Logic Analyzer)、逻辑转换器(Logic Converter)、失真分析仪(Distortion Analyzer)、频谱分析仪(Spectrum Analyzer)和网络分析仪(Network Analyzer)。

1.6 .com按钮

元件工具栏的下方还有一个.com按钮,点击该按钮,用户可以自动通过因特网进入https://www.sodocs.net/doc/f014474762.html,网站。这是一个由EWB和ParMiner合作开发,提供给Multisim用户的因特网入口,用户可以访问超过一千多万个器件的CAPSXper数据库,并可从ParMiner直接把有关元件的信息和资料下载到自己的数据库中。另外,还可从侅网站免费下载到专为Multisim设计的升级Multisim Master元件库的文件。一般在界面的左下角,如下图所示:

1.7 电路窗口

电路窗口也称为Workspace,相当于一个现实工作中的操作平台,电路图的编辑绘制、仿真分析及波形数据显示等都将在此窗口中进行。

1.8 使用中元件列表

使用中元件列表列出了当前电路所使用的全部元件,以供检查或重复调用,在界面的右上方,如下图所示:

1.9 仿真开关

仿真开关用以控制仿真进程,一般在界面的右上角,如下图所示:

1.10 状态栏

状态栏显示有关当前操作以及鼠标所指条目的有用信息,在界面的最下方,如下图所示:

第二章基本分析方法

启动Simulate菜单中的Analyses命令,里面共有18种分析功能,从上至下分别为:直流工作点分析、交流分析、瞬态分析、傅里叶分析、噪声分析、失真分析、直流扫描分析、灵敏度分析、参数扫描分析、温度扫描分析、极点-零点分析、传输函数分析、最坏情况分析、蒙特卡罗分析、批处理分析、用户定义分析、噪声图形分析及RF分析。下面我们主要介绍七种常用的分析方法。

第一节直流工作点分析

直流工作点分析(DC Operating Point Analysis)是在电路电感短路、电容开路的情况下,计算电路的静态工作点。直流分析的结果通常可用于电路的进一步分析,如在进行暂态分析和交流小信号分析之前,程序会自动先进行直流工作点分析,以确定暂态的初始条件和交流小信号情况下非线性化模型参数。

下面以图2-1所示的简单晶体管单管放大电路为例,介绍直流工作点分析的基本操作过程。首先要把电位器的阻值调节到70%-80%,此时用示波器看到的波形没有失真,如图2-2所示,电路真处于放大状态。启动Simulate菜单中

Analyses子菜单下的DC Operating Point命令,然后如图2-3所示选择,就可以得到如图2-4所示的运算结果。根据数据计算得出:

V B =V C=2.82V V C=V A=5.92V V CE=V C-V E=3.1V

V BE=V B-V E=0.76V I E=I C=(V CC-V C)/R C=2.53V

2-1-1 简单晶体管放大电路

图2-1-2示波器显示的电路真正处于放大状态波形

图2-1-3 对话框

图2-1-4 运算结果显示

第二节交流分析

交流分析(AC Analysis)是分析电路的小信号频率响应。分析时程序自动先对电路进行直流工作点分析,以便建立电路中非线性元件的交流小信号模型,并把直流电源置零,交流信号源、电容及电感等用其交流模型,如果电路中含有数字元件,将认为是一个接地的大电阻。交流分析的以正弦波为输入信号,不管我们在电路的输入端输入何种信号,进行分析时都将自动以正弦波替换,而其信号频率也将以设定的范围替换之。交流分析的结果,以幅频特性和相频特性两个图形显示。如果将波特图仪连至电路的输入端和被测节点,也可获得同样的交流频率特性。

下面我们以图2-2-1所示的简单RLC电路为例,说明如何进行交流分析。

R2

3.3ohm

图2-2-1简单RLC 电路

如下图2-2-2所示选择设定:

图2-2-2 AC Analysis 对话框

另外,在Output variables 页里,选定分析节点4;在Miscellaneous Options 页,Title for analysis 栏输入“交流分析”,最后点击Simulate 进行分析,其结果如图2-2-3所示

图2-2-3 AC分析结果

第三节瞬态分析

瞬态分析(Transient Analysis)是一种非线性时域(Time Domain)分析,可以在激励信号(或没有任何激励信号)的情况下计算电路的时域响应。分析时,电路的初始状态可由用户自行指定,也可由程序自动进行直流分析,用直流解作为电路初始状态。瞬态分析的结果通常是分析节点的电压波形,故用示波器可观察到相同的结果。

我们用图2-3-1所示的一个简单的正弦交流电路为例,当我们要进行瞬时分析时,可启动Simulate菜单中Analyses下的Transient Analysis命令,如图2-3-2所示:

100uF

图2-3-1 简单的正弦交流电路

图2-3-2 Transient Analysis 对话框

图2-3-3 分析结果

第四节 傅里叶分析 傅里叶分析(Fourier Analysis )是分析周期性非正弦波信号的一种数学方法,它将周期性的非正弦波信号转换成一系列正弦波及余弦波,即: ...2sin ...2cos cos )(21210++++++=t B t B t A t A A t f ωωωω

式中: A

为原始信号的直流(平均)分量,#为基波分量(与原始波有相同的频

率和周期),#为n次谐波,A

i 、B

i

为第I次谐波的系数,ω为基波角频率。这些

分量对电路的性能有着重要的影响。

下面以图2-4-1所示的一个简单的方波激励RC电路为例,说明傅里叶分析的基本操作过程。

图2-4-1 方波激励RC电路

当需要进行傅里叶分析,可启动Simulate菜单中Analyses下的Fourier Analysis命令,如图2-4-2所示。

图2-4-2 Fourier Analysis对话框

结果如下图2-4-3所示:

图2-4-3 分析结果

第五节失真分析

失真分析(Distortion Analysis)是分析电路的非线性失真及相位偏移,通常非线性失真会导致谐波失真(Harmonic Distortion),而相位偏移会导致互调失真(Intermodulation Distortion,IMD)。Multisim可以分析小信号模拟电路的谐波失真和互调失真。如果电路中只有一个交流电源,该分析将确定电路中每一点的二、三次谐波造成的失真。如果电路中有频率分别为F1和F2的两个不同频率的交流电源(设F1F2),则该分析将寻找电路变量在(F1+F2)、(F1-F2)、(2F1-F2)3个不同频率上的谐波失真。

失真分析对于研究瞬态分析中不易观察到的小失真比较有效。

下面以图2-5-1所示的单级晶体管放大电路为例,介绍失真分析的基本操作方法。

当要进行失真分析时,可启动Simulate菜单中Analyses下的Distortion Analysis命令,出现如图2-5-2所示的对话框。

2-5-2 Distortion Analysis对话框

得出结果如图2-6-3所示

2-5-3 失真分析仿真结果

第六节传递函数分析

传递函数分析(Transfer Function Analysis)是分析计算在交流小信号条件下,由用户指定的作为输出变量的任意两节之间的电压或流过某一个器件上的电流与作为输入变量的独立电源之间的比值,同时也将计算出相应的输入阻抗和输出阻抗值。

下面我们以图2-6-1所示的一个简单的心电放大电路为例,学习传递函数分析的仿真过程。

2-6-1 简单的心电放大电路

当要进行分析时,可启动Simulate菜单中Analyses下的Transfer Function 命令,

结果如图2-6-3所示,可以看出增益为40

2-6-3 仿真结果

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

Multisim10的基本使用-电路的仿真测量

Multisim10的基本使用 ---------电路的仿真测量 学会在NI Multisim10虚拟电子实验平台调用测量元件和仪器仪表,并能设置和使用电流表、电压表、数字万用表、函数信号发生器、示波器和频率计。 知识准备 Multisim10提供了种类齐全的测量工具和虚拟仪器仪表,它们的操作、使用、设置、连接和观测方法与真实仪器几乎完全相同,就好像在真实的实验室环境中使用仪器。在仿真过程中,这些仪器能够非常方便地监测电路工作情况和对仿真结果进行显示及测量。 Multisim10提供了测量元件如电流表、电压表和探针可在如图1-46的测量元件工具栏中调用,或在元器件工具栏上打开“指示器”对话框中调用。 (a )测量元件工具栏 (b )指示器对话框 图1-46 调用测量元件的两种方法 Multisim 10还提供了18种虚拟仪器仪表(数字万用表、函数信号发生器、功率计、双踪示波器、4踪示波器、波特图示仪、频率计、字发生器、逻辑分析仪、逻辑转换仪、I-V 特性分析仪、失真度分析仪、频谱分析仪、网络分析仪、安捷伦信号发生器、安捷伦万用表、安捷伦示波器、泰克示波器),1个实时测量探针,4种LabVIEW 采样仪器和1个电流检测探针,都可在如图1-47所示工具栏中找到。 图1-47 虚拟仪器仪表工具栏 函数 信号源 双踪 示波器 波特 图示仪 I-V 特性 分析仪 逻辑转换仪 安捷伦 示波器 频谱分析逻辑 分析仪 安捷伦万用表 实时测 量探针 网络分 析仪 功率表 泰克 示波器 字发生器 失真度分析仪 安捷伦 信号源 电流检测探针 4种LabIEW 采样仪器 数字 万用表 4踪 示波器 频率 计 电流表 指示器元件库 “指示器” 按钮 探针 电压表

Multisim14使用multisim12元件库的方法

M u l t i s i m14使用 m u l t i s i m12元件库的 方法 -CAL-FENGHAI.-(YICAI)-Company One1

Multisim14使用multisim12元件库的方法 如题,步骤如下: 1、下载multisim12,multisim14,multisim12库文件。 2、安装multisim14,安装multisim12,安装方法及安装包自己百度 3、打开multisim12,导入multisim12库文件。工具----数据库----数据库管理 器---导入-----选择下载好的数据库,按照提示操作。 4、导入成功后,打开数据库管理器(打开顺序:工具----数据库---数据库管 理器),点击右下角的关于,查找已导入数据库的存放位置。如导入到用户数据,则复制用户数据库地址,如下图,我的存放地址为:C:\Users\Administrator\AppData\Roaming\National Instruments\Circuit Design Suite\\database

5、打开数据库存放位置,可看到当前数据库, usr文件为数据库文件。 6、关闭multisim12,运行multisim14,执行工具----数据库----转换数据库--- 选择v12→v14-----选择源数据库名称

7、打开到multisim12中usr库文件存放位置,即第四步所示地址,右下角 选择所有文件,这是可看到第三步导入的库文件存放文件,选择该文件,点击打开,点击开始,选择自动重命名或覆盖、忽略,点击确定。 8、等待导入结束后,即可使用。 该方法可用于其他版本数据库导入,如multisim10数据库导入multisim12或14等。 另外,也可以下载别人转换好的数据库文件,但是是否可行,有待验证。

Multisim10仿真入门基础讲义(不错,可以用于简单入门基础)

Multisim 10仿真入门讲义 1、启动操作,启动Multisim10以后,出现以下界面,如图1所示。 图1 2、Multisim 10打开后的界面如图2所示: 主要有菜单栏,工具栏,缩放栏,设计栏,仿真栏,工程栏,元件栏,仪器栏,电路图编辑窗口等部分组成。 图2 3、选择文件/新建/原理图,即弹出图3所示的主设计窗口。

图3 一、Multisim 10功能简介 1、NI Multisim 10是美国国家仪器公司(NI,National Instruments)最新推出的Multisim最新版本。 2、目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB 设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。 3、NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。 4、NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。 5、NI Multisim 10的虚拟测试仪器仪表种类齐全,有一般实验用的通用仪器,如万用表、函数信号发生器、双踪示波器、直流电源;而且还有一般实验室少有或没有的仪器,如波特图仪、字信号发生器、逻辑分析仪、逻辑转换器、失真仪、频谱分析仪和网络分析仪等。 6、NI Multisim 10具有较为详细的电路分析功能,可以完成电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法,以帮助设计人员分析电路的性能。 7、NI Multisim 10可以设计、测试和演示各种电子电路,包括电工学、模拟电路、数字电、射频电路及微控制器和接口电路等。可以对被仿真的电路中的元器件设置各种故障,如开路、短路和不同程度的漏电等,从而观察不同故障情况下的电路工作状况。在进行仿真的同时,软件还可以存储测试点的所有数据,列出被仿真电路的所有元器件清单,以及存储测试仪器的工作状态、显示波形和具

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

Multisim10简明教程(强烈推荐给初学者)

Multisim 10简明教程 一1、启动操作,启动Multisim10以后,出现以下界面,如图1所示。 图1 2、Multisim 10打开后的界面如图2所示: 主要有菜单栏,工具栏,缩放栏,设计栏,仿真栏,工程栏,元件栏,仪器栏,电路图编辑窗口等部分组成。 图2 3、选择文件/新建/原理图,即弹出图3所示的主设计窗口。

图3 二、Multisim10常用元件库分类 图1 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示:

图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示: 图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示:

图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示: 图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

multisim小常识

电子仿真软件MultiSIM使用方法及技巧 电子仿真软件MultiSIM最初由加拿大的IIT 公司推出,从Multisim2001开始 到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim10版本, 已改由美国国家仪器公司(NI公司)所推出。Multisim版本每次升级,软件功能都有相应的提高,但它们的操作方法和电子电路虚拟仿真这一块内容几乎没有太大的变化。也就是说,读者只要掌握和学会了Multisim7软件的使用方法,其它的版本也就触类旁通了。软件更新快,读者也不一定要一味去赶时髦, 电子仿真软件MultiSIM最初由加拿大的IIT 公司推出,从Multisim2001开始 到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim10版本, 已改由美国国家仪器公司(NI公司)所推出。Multisim版本每次升级,软件功能都有相应的提高,但它们的操作方法和电子电路虚拟仿真这一块内容几乎没有太大的变化。也就是说,读者只要掌握和学会了Multisim7软件的使用方法,其它的版本也就触类旁通了。软件更新快,读者也不一定要一味去赶时髦,这要看你用软件做什么内容来决定,如果是初学者和一般电子电路虚拟仿真,学会和掌握Multisim7软件的使用方法已足够。一是上手快,二是获得软件容易。 当然,读者要进一步提高,要学LabVIEW技术,要学单片机仿真,要学UltiBoard 制版,那当然需要安装Multisim9或Multisim10版本了,但目前介绍这方面的专业书籍资料不太多,且新版本软件刚推出时不易得到、存在不够稳定等缺点;再说LabVIEW技术也不像电子电路仿真那样容易学会,它是属于构建虚拟仪器技术范畴;至于单片机仿真,软件目前只适用汇编语言,不能用C语言编程;且模块也仅有8051和8052两种,单片机仿真技术方面还不是太理想,有待于版本进一步升级和提高。 电子仿真软件MultiSIM的元件库中虽然收集了大量的常用电子元件,供读者调用搭建电路进行虚拟仿真,但有些读者有时用到的电子元件,MultiSIM的元件 库中没有怎么办?下面就这个话题谈谈自己的一些处理方法,或许对读者有一些启发。 一、没有“热释电人体红外传感器”怎么办? “热释电人体红外传感器”是一种新产品,电子仿真软件MultiSIM的元件库中没有。我们知道“热释电人体红外传感器”是一种能接收人体发出的微弱红外线,然后将它转换成微弱电信号的一种器件。既然我们知道了它的工作机理,很简单,我们可以用一个开关来代替它。将开关和电源连在一起,开关打开时表示电路没有接收到信号;开关闭合一下随即打开,表示电路已接收到人体走动的红外线信号,并已转换成电信号被接收,电路会动作,或控制的节能灯亮了,或控制的继电器闭合了等。图一是“热释电人体红外感应节能灯”的虚拟仿真电路,读者可以去试一下,开关J1闭合一下随即打开,看红色指示灯是否会亮一段时间,然后自动熄灭。在实际电路中,电路是控制交流灯泡的,这里采取了用红色指示灯来代替的变通方法,一般来说只要虚拟仿真成功了,做成实物也就没有大问题了(注:图中电阻R19是为了仿真时红色指示灯发光稳定添加的,实际电路可以不用;图一是在Multisim10软件下做的仿真,读者完全可以在Multisim7或“汉化特殊版Multisim8.3.30”软件下实现)。

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。

Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10、0就是加拿大交互图像技术公司推出得最新电子仿真软件,就是Mult isim系列得改进版。该版使文件管理与操作更方便,元件调用更便捷,元件得标注更加直观实用,增加了仿真得真实感,使虚拟得电子实验平台更加接近实际得实验平台.Multisim10、0就是一种在电子技术界广为应用得优秀计算机仿真设计软件,被誉为“计算机里得电子实验室”。 1.Multisim10、0得基本操作界面 Multisim10、0软件以图形界面为主,具有一般Windows应用软件得风格,可以使用户自如使用。启动Multisim10、0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3—4—1 主界面窗口 (1)菜单栏 Multisim10、0得菜单包括主菜单、一级菜单与二级菜单,通过菜单可以对Multis im10、0得所有功能进行操作。如图3—4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10、0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用得新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中得按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级得外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库.

multisim使用说明

Multisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。

Multisim简介及使用

目录 1 Multisim 12简介及使用 (2) 1.1 Multisim简介 (2) 1.1.1 Multisim概述 (2) 1.1.2 Multisim发展历程 (2) 1.1.3 Multisim 12的特点 (4) 1.2 Multisim 12的基本界面 (6) 1.2.1 Multisim 12的主窗口界面 (6) 1.2.2 Multisim 12的标题栏 (7) 1.2.3 Multisim 12的菜单栏 (7) 1.2.4 Multisim 12的工具栏 (9) 1.2.5 Multisim 12的元件库 (10) 1.2.6 Multisim 12的虚拟仪器库 (12) 1.3 Multisim 12的使用方法与实例 (13) 页脚内容1

1Multisim 12简介及使用 1.1Multisim简介 1.1.1Multisim概述 NI Multisim是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。 Multisim是以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 我们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样我们无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 1.1.2Multisim发展历程 Multisim 电路仿真软件最早是加拿大图像交互技术公司(Interactive Image Technologies,IIT)于20世纪80年代末推出的一款专门用于电子线路仿真的虚拟电子工作平台(Electronics Workbench,EWB)。用来对数字电路、模拟电路以及模拟/数字混合电路进行仿真。20世纪90年代初,EWB软件进入我国。1996年IIT公司推出EWB 5.0版本,由于其操作界面直观、操作方便、分析功能强大、易学易用等突出优点,在我国高等院校得到迅速推广,也受到电子行业技术人员的青睐。 从EWB 5.0版本以后,IIT公司对EWB进行了较大的变动,将专门用于电子电路仿真的模块改名为Multisim,将原IIT公司的PCB制板软件Electronics Workbench Layout更名为Ultiboard,为了增强器布线能力,开发了Ultiroute布线引擎。另外,还推出了用于通信系统的仿真软件Commsim。至此,Multisim、Ultiboard、 页脚内容2

Multisim使用手册

Multisim使用手册

第一章Multisim2001 基本界面 启动Windows“开始”菜单中的Multisim2001,打开Multisim2001的基本界面。从中我们可以看出,Multisim2001基本界面主要由菜单栏(Menus)、系统工具栏(System Toolbar)、Multisim的设计工具栏(Multisim Design Bar)、使用中的元件列表(In Use List)、仿真开关(Simulate)、元件工具栏(Component Toolbar)、连接https://www.sodocs.net/doc/f014474762.html,按钮、仪表工具栏(Instruments Toolbar)、电路窗口(Circuit Window)和状态栏(Status line)等项组成。 1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim2001菜单栏包含着9个主菜单,如图2-2-1所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Options(选项菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单,用户可以从中找到电路文件的存取、SPICE文件的输入和输出、电路图的编辑、电路的仿真与分析及在线帮助等各项功能的命令。 1-1 菜单栏 1.File(文件)菜单:主要用于管理所创建的电路文件,如打开、保存和打印 等,如下图所示:

它的下级命令及功能如下: New: 提供一个空白窗口以建立一个新文件。 Open: 打开一个已存在的*.msm、*.ewb或*.utsch等格式的文件。 Close: 关闭当前工作区内的文件。 Save: 将工作区内的文件以*.msm的格式存盘。 Save as: 将工作区内的文件换名存盘,仍为*.msm格式。 Print Circuit:打印当前工作区内的电原理图,其中包括Print(打印)、Print Preview(打印预览)和Print Circuit Setup(打印电路设置)命令。 Print Reports: 列表打印当前工作区内所编辑的电路图中的元器件(Bill of Materials)或元库(Database Family)或元器件的详细资料(Component Detail Report)。 Print Instruments: 选择打印当前工作区内的仪表波形图。 Print Setup: 打印机设置。 Recent Files: 最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能,故不再介绍。 2.Edit(编辑)菜单: 主要用于在电路绘制过程中,对电路和元件进行各 种技术性处理,如下图所示:

相关主题