搜档网
当前位置:搜档网 › 加减法器的设计

加减法器的设计

加减法器的设计
加减法器的设计

本文运用数字电路知识来设计加减法器,在PROTEUS中仿真出电路原理图,通过控制开关的闭合来模拟数据的输入以及控制控制开关来选择加法器或者减法器。通过数码管的显示可以看到所输入的数据以及输入数据的运算结果。通过加减法器的课程设计,可以从中学习和巩固数字电路的相关知识。比如,减法器电路的设计,全加器芯片以及各种逻辑门器件的使用等等。

关键字:加减法器;数码管;逻辑门;全加器;PROTEUS。

第1章概述 (1)

第2章方案设计 (2)

2.1设计要求 (2)

2.2方案设计 (2)

2.3设计原理 (3)

第3章电路设计 (4)

3.1置数电路的设计 (4)

3.2加减法器电路的设计 (5)

3.3数码管显示电路的设计 (5)

第4章仿真与调试 (6)

4.1系统仿真步骤 (6)

4.2系统仿真结果分析 (6)

总结 (8)

参考文献 (9)

致谢 (10)

附录 (11)

第1章概述

目前,随着社会经济的发展,运算是人们生活中必不可少的,因此设计性能可靠的计算器是很有必要的,当今的世界是信息时代,运算能力的强弱关系到获取信息的速度。这点对于人们很重要。不仅对于个人,对于国家的安全和发展也是极其重要的,它关系到国家的安全问题。

从对信息处理的能力,可以看出一个国家的综合实力的强弱。所以,研究高性能的计算机是每个国家都十分重视的一件事情。它是一个国家综合实力提高的标志。我国在这方面已经取得了显著的进步,计算机的处理能力排名世界前列,有力的向世界展示了中国力量,提高了我国的综合实力,尤其是为我国的各方面的建设和发展做出了巨大的贡献。因此,作为天之骄子的我们很有必要学好信息技术,努力成为国家所需要的人才,为国家的繁荣富强作出自己的贡献,为中华民族的伟大复兴尽一份自己的力量。

从现在的微型机到巨型机的使用,我们发现现在的社会越来越离不开计算机,它在我们的日常生活中发挥着巨大的作用,对提高我们的生活质量起到很大的帮助作用。回顾计算机的发展历史,它已从以前的单一功能变得现在的多功能化,功能更变得越来越强大。正因为如此,它减少人们的工作了工作量,是人们从复杂繁琐的工作中得到极大的解放。我们也就更加多的其余时间来做我们自己想做的事情。

因此,了解并学习计算机的工作原理,对于我们更好的来应用计算机解决日常生活中的琐事会有很大的帮助。在以后的生活中,计算机会离我们的距离越来越近,也会越来越亲密。所以,现在储备一定的有关计算机方面的知识是很有必要的,它会在我们以后的工作生活中发挥巨大的作用。

第2章方案设计

2.1设计要求

设计一个加减法器,能够满足4位二进制数的加减法运算,并将结果用数码管显示出来。

2.2方案设计

加减器的设计方案:先设计置数电路,通过单刀双掷开关可以设计出来,即若为加法运算,则把开关打到与地相连;若为减法运算,则把开关打到与电源相连。再设计加减法器电路,具体的设计请详见2.3电路原理中。然后设计数码管显示电路。注意,在设计数码管电路中,需要注意数码管的接法,在接之前,应该区分数码管是共阳极还是共阴极。方案设计如下图2.1所示。

置数电路的设计

开关选择运算方式

加法器的电路设计减法器的电路设计

数码管显示电路的设计

图2.1 加减法器电路设计方框图

2.3设计原理

设计该加减法器,可以分为两部分来设计。一部分为加法器的设计,另一部分为减法器的设计。设计加法器可以用一块全加器集成芯片74283来完成,设计减法器可以转换成补码相加实现,即:X-Y=[X]补+[-Y]补。对于两位数的相加,如果相加结果大于9,进行加6运算,以使十位输出为“1”。对于如何实现用一块全加器集成芯片74283来实现加减法器的运算,因为Y的补码是其反码加1,Y的反码可以用异或门来实现,得到反码后利用四位二进制全加器74283实现X+Y的反码,并使最低位进位位为1。异或门的其中一个输入端控制信号C,当C=1时,电路实现减法,当C=0时,电路实现加法。即同一个电路,控制信号C取值不同可以实现加法和减法操作。对于数据的输入,可以用开关的闭合和断开来实现高低电平从而完成数据的输入。对于用作加法器时,需要考虑是否有进位,这需要画出真值表从而得出逻辑表达式,从而选用各种门来实现判断进位的功能。该加加法器的电路设计中,如要用到与门,或非门,非门以及异或门。它们的真值表分别为表2.1与门逻辑真值表,表2.2或非门逻辑真值表,表2.3 非门逻辑真值表,表2.4 异或门逻辑真值表。

表2.1 与门逻辑真值表

A B L

0 0 0

0 1 0

1 0 0

1 1 1

表2.2 或非门逻辑真值表

A B L

0 0 1

0 1 0

1 0 0

1 1 0

表2.3 非门逻辑真值表

A L

0 1

0 0

表2.4 异或门逻辑真值表

A B L

0 0 0

0 1 1

1 0 1

1 1 0

第3章电路设计

总的设计图见附录中总设计电路图,其它的分步设计电路图如置数电路的设计,加减法器电路的设计以及数码管显示电路的设计见下文。

3.1置数电路的设计

通过控制开关的闭合即可来表示二进制数。当开关与地相连时,表示的是低电平,即为“0”;当开关与电源相连时,表示的是高电平,即为“1”。具体电路的设计如下图3.1所示。

图3.1置数电路设计

3.2加减法器电路的设计

加法器可以用一块全加器集成芯片74283来完成,设计减法器可以转换成补码相加实现,即:X-Y=[X]补+[-Y]补。74283来实现加减法器的运算,因为Y的补码是其反码加1,Y的反码可以用异或门来实现,得到反码后利用四位二进制全加器74283实现X+Y的反码,并使最低位进位位为1。异或门的其中一个输入端控制信号C,当C=1时,电路实现减法,当C=0时,电路实现加法。具体电路的设计如下图3.2所示。

图3.2加减法器电路设计

3.3数码管显示电路的设计

对于用做减法器使用时,不需要考虑进位问题,但是对于用做加法器使用时,需要考虑进位问题。如果两数相加的结果大于9,则需要将所得运算结果加6,再把其相加结果输出到低位的数码管显示。具体电路的设计如下图3.3数码管显示电路设计所示。

图3.3数码管显示电路设计

第4章仿真与调试

4.1系统仿真步骤

1)系统各跳线器处在初始设状态。

2)在PROTEUS中运行,先进行加法器的运算,即把C0置“0”,在进行减法器的运算,即把C0置于“1”。

4.2系统仿真结果分析

当做加法器使用时,输入二进制数“1001”和“0111”,即十进制数“9”和“7”,C0端置为“0”,即低电平,使其进行加法运算,可以观察到输出结果为“16”.,电路运行情况如图4.1所示。由此可见,该电路能够满足加法运算,实现了加法运算的功能。所以,加法器的设计是成功的。

图4.1 运算9加7等于16

当做减法器使用时,输入二进制数“1001”和“0111”,即十进制数“9”和“7”,C0端置为“1”,即高电平,使其进行减法运算,可以观察到输出结果为“02”.,电路运行情况如图4.2所示。由此可见,该电路能够满足减法运算,实现了减法运算的功能。所以,减法器的设计是成功的。

图4.2运算9减7等于02

总结与体会

通过本次的课程设计,我从中学到了很多东西。在设计的过程中,遇到了不少麻烦,但是经过自己的最终努力以及在老师的帮助下,还是圆满的完成了这次课程设计,达到了课程设计的目的。在设计电路时,遇到了不少问题,主要体现在以下几个方面:一是对数字电路知识不够熟练,对有些元器件不能够熟练应用,对其某些功能不是十分清楚。二是对所用软件PROTEUS不能够熟练掌握,有些元器件不能PROTUES 元器件库中快速的找到。从以上的问题中,我发现自己对所学知识不能够很好的做到学以致用,基础知识掌握的不够牢固。因此,在以后的学习当中,我会注意到这些问题,争取把所学知识牢牢的化为自己所用。

在这次的课程设计当中,也十分感谢老师的指导以及同学们的帮助。我深深体会到团队的力量,只有发挥出团队的力量才能更好的,更快的完成任务。因此,在以后的学习生活当中,我会充分发挥团队的力量。

参考文献

[1] 张克农,宁改娣.数字电子技术基础(第二版).北京:高等教育出版社,2010.

[2] 张永瑞,陈生潭,高建宁.电路分析基础(第二版).北京:电子工业出版社,2009.

[3] 江世明,黄同成.单片机原理及应用.北京:中国铁道出版社,2010.

[4] 郭照南.电子技术与EDA技术课程设计.长沙:中南大学出版社,2010.

[5] http://https://www.sodocs.net/doc/f019083087.html,

感谢学院给我提供了一个锻炼自己独立完成任务的机会,使得我的动手能力和理论水平都有所提高。感谢老师充分相信我的能力,让我可以把自己能够顺利完成任务。感谢我的同学们,在面对我有那么多的难题时,耐心的跟我讲解,因为有他们帮助,我才能准时的完成这份课程设计。

总设计电路图:

加减法简便运算

加减法的简便计算 教学 内容 加减法的简便计算——人教版义务教育教科书(数学)四年级下册p20-p21 例3、例4 教材分析 这一单元主要学习“加法运算定律”“加、减法的简便计算”“乘法运算定律”“乘、除法的简便计算”。让学生探索和理解加法交换律,结合律,乘法交换律、结合律和分配律、并能运用运算定律进行一些简便计算。使学生能够结合具体情况,灵活选择合理的算法,培养学生用所学的知识解决简单的实际问题的能力。 四年级学生已经有一定的总结能力,在学习各定理时可以尝试自己总结出结论,但是在应用方面还是比较薄弱的,而本班学生在前三年的数学学习中已经积累了一定的数学巧算方法,可能少部分学生之前的积累较差,这部分学习会出现接受慢、掌握慢的情况,教师要加强指导,重点在于练习。 教学目标1.掌握如何运用加法运算定律使计算更简便并且积累简便运算的解题经验。 2.引导学生经历观察、发现、总结计算方法的过程。 3.培养学生使用简便算法解决习题的能力。 教学 重点 掌握如何运用加法运算定律使计算更简便 教学 难点 学会使用简便方法解决习题。 预设过程设计意图 一、谈话导入 还记得李叔叔吗?他还在进行着他的旅行之途,而且在这个过程中他还要随时记录下自己的行车路线及下面要如何行车的计划,这就是李叔叔接下来4天的行程计划表,你能看懂吗? 二、教学新知 课件出示主题图,请同学们观察并汇报数学信息。 出示问题:李叔叔这四天还要骑多少千米? 指名列算式 115+132+118+85 根据最原始的计算顺序计算 =247+118+85 =365+85 =450 请学生汇报想法:太麻烦了,因为有三次的进位计算,这也很可能出现计算马虎的结果。那请同学们想一想我们之前学习了加法运算定律,如果运用到这个计算当中会不会简单一些呢?组织小组讨论该如何使用运算定律解决计算问题。 从而得到简便的计算过程 115+132+118+85 =85+115+132+118 =(85+115)+(132+118) =200+250 =450 总结简便计算的方法:在计算时,运用加法交换律、加法结合律来改变加法联系旧知使学生快速进入课堂。 培养学生发现问题、解决问题的能力。

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

实验一四位串行进位加法器的设计实验报告

实验一四位串行进位加法器的设计 一、实验目的 1.理解一位全加器的工作原理 2.掌握串行进位加法器的逻辑原理 3.进一步熟悉Quartus软件的使用,了解设计的全过程, 二、实验内容 1.采用VHDL语言设计四位串行进位的加法器 2.采用画原理图的方法设计四位串行进位加法器 三、实验步骤 1、使用VHDL语言设计 1.打开File—>New Project Wizard输入文件名adder4保存在D盘内,打开File—>New—>VHDL File,从模版中选择库的说明,use语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后保存、编译。打开File—>New—>Other File—>Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。从Assignments—>Settings—>Simulator Settings —>Functional 然后Processing—>Generate Functional Simnlation Netlist —>确定。选择Start Simulation保存最后的波形图,打开File —>close关闭工程。 底层文件: LIBRARY ieee;

USE fadder IS PORT ( a, b,cin : IN STD_LOGIC; s, co : OUT STD_LOGIC ); END fadder; ARCHITECTURE arc1 OF fadder IS BEGIN s<=a xor b xor cin; co<=((a xor b)and cin)or(a and b); END arc1; 顶层文件: LIBRARY ieee; USE adder4 IS PORT ( c0: IN STD_LOGIC; a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); c4 : OUT STD_LOGIC );

加减法的简便计算

第八课时:加减法的简便计算 教学内容: P40/例2(综合运用加碱计算的实践问题) 教学目标: 1、知识与技能:通过计算、观察和思考,使学生理解并掌握从一个数里连续减去几个数的简便运算方法,并能正确地进行计算。 2、数学思考:培养学生分析、综合和抽象的思维能力,合理、灵活地进行计算的能力。 3、解决问题:根据具体的算式中的数据特点,选择合适的简便计算方法。 4、情感与态度:通过教学,加强新旧知识之间的相互联系,在此基础上扩展学生的知识结构,从而培养学生乐于探索的良好品质。 教学重点:理解“连减两个数,等于减去这两个数的和”的减法运算性质。 教学难点:灵活运用几种算法进行简便运算。 教学关键:在观察、比较中了解减法的简便计算中数据的特点。 教学过程: 一、复习引入感知“凑整” 1、把上下两行中两数相加的和是整百、整千的用线连起来。 36 1597 263 317 37 283 164 403 2、出示三个算式。 72+39+28 72+(38+28)(72+28)+39 (1)观察、比较。你更喜欢计算哪个算式?为什么? (2)说明:“凑整”能使计算更简便。这节课我们就利用这个思想来研究减法中 的一些简便计算。 二、观察主题图,思考问题的解决方法。 出示主题图。 二、新授 1.观察图(一)中的条件问题。 引导学生观察图(一)

小组合作讨论问题(一)的解决方法,比一比哪个小组的方法多? 小组讨论。 (教材提示了两种算法。一种是把每三本书的价钱相加。采用这种方法,学生遇到的困难是,四本书取三本共有几种情况?这是一个组合问题,回答这个问题,如果直接从四本书中每次取三本,要做到不重不漏,思考难度较大。如果反过来思考,四本取三本,也就是从四本书中每次去掉一本,就很容易得出共有四种情况。这种反过来思考的间接思路,用于计算三本书总价,就是教材提示的第二种算法。) 全班交流。 教师根据学生的汇报整理板书。 2.观察图(二)的条件问题。 小组讨论。 汇报。 三、巩固应用优化算法 1、我会填。 513-76-24=513○(□+□) 1048-161-39=1048-(□○□) 2、我能更快计算。 1184-68-42 5347一347一972 3576-133-67 1054-13-54 思考:注意观察数据特征,怎样简便怎样算。 3、试一试,我能行。 (1)2864-37一42一21 (2)3862一319一182一481一218 4、我来当小医生。 (1)276-76+24=276-(76+24)() (2)25+5-25+5=0 () (3)384-(84+29)=384-84+29 () (4)78+19-22=78+22-19 ()

四年级数学加减法的简便算法

教学目标: 1.使学生理解并掌握加、减法的一些简便运算,并会在实际计算中应用. 2.通过学习加、减法的简便运算,逐步培养学生的简算能力及运用知识解决实际问题的能力. 教学重点:学会并掌握加、减法简便运算的方法. 教学难点:明确要加的数或要减的数是接近哪个整百、整十数;加上或减去整百、整十数,多加了或多减了多少. 教具和学具: 教具:口算卡片. 教学步骤: (一)铺垫孕伏 1.减法的意义是什么? 2.根据1745+980=2725,直接写出下面的得数. 2725-1745=( ) 2725-980=( ) 3.口算下面各题. 574+200476-300247+20 352-200615+300113+60 (二)探求新知 1.导入:利用复习中的口算最后一道题113+60.

教师叙述:同学们会很快地计算出113+60的得数,因为60是一个整十数.那么,怎样很快计算出象113+5 9这样算式的得数呢?首先我们要研究加、减法的一些简便算法.(演示课件“加、减法的简便算法”,出示课题)下载 2.教学例1.(演示课件“加、减法的简便算法”,出示例1)下载 育民小学图书室新买来130本图书.其中故事书46本,科技书34本,其余的是连环画.买来连环画多少本? (1)让学生用两种方法自己解答. 130-46-34130-(46+34) =84-34=130-80 =50(本)=50(本) (2)学生讨论:两种算法结果怎样?哪一种算法比较简便? (3)教师提示: 从130里依次减去46和34,等于从130里减去46与34的和. 3.学例2.(演示课件“加、减法的简便算法”,出示例2)下载 计算295-128-72. (1)让学生观察题里的数目有什么特点? (2)让学生联系例1同桌进行讨论怎样计算比较简便,为什么? (3)教师强调:从295中依次减去128和72,等于从295中减去128与72的和.而这两个数的和恰好是整百数,所以,先算(128+72),再算295-200,计算起来比较简便. 4.完成55页“做一做”

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

EDA FPGA设计实例 四位加法器(含源程序)

EDA FPGA 四位加法器设计说明:程序使用原件例化语句编写。 半加器程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY bjq IS PORT(A,B:IN STD_LOGIC; Y,Co:OUT STD_LOGIC); END bjq; ARCHITECTURE bjqbehv OF bjq IS SIGNAL c,d:STD_LOGIC; BEGIN c<=A OR B; d<=A NAND B; Co<=NOT d; Y<=c AND d; END bjqbehv; 全加器程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY qjq IS

PORT(Ci,A,B:IN STD_LOGIC; S,Co:OUT STD_LOGIC); END qjq; ARCHITECTURE qjqbehv OF qjq IS BEGIN S<=A XOR B XOR Ci; Co<=(A AND B) OR (A AND Ci) OR (B AND Ci); END qjqbehv; 加法器例化程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jfq4 IS PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); Co:OUT STD_LOGIC); END jfq4; ARCHITECTURE jfq4behv OF jfq4 IS COMPONENT qjq PORT(Ci,A,B:IN STD_LOGIC; S,Co:OUT STD_LOGIC); END COMPONENT; COMPONENT bjq PORT(A,B:IN STD_LOGIC; Y,Co:OUT STD_LOGIC); END COMPONENT; SIGNAL C0,C1,C2:STD_LOGIC; BEGIN u1:bjq PORT MAP(A(0),B(0),Y(0),C0); u2:qjq PORT MAP(C0,A(1),B(1),Y(1),C1); u3:qjq PORT MAP(C1,A(2),B(2),Y(2),C2); u4:qjq PORT MAP(C2,A(3),B(3),Y(3),Co); END ARCHITECTURE jfq4behv; 兄弟加qq 352995783,技术交流。 暮落 2011年12月2日

五年级数学小数加减法计算题简便计算

五年级数学小数加减法计算题(简便计算) 13.6+7.84+6.4 38.7-14.47-5.53 8.5+9.9 1.31+4.7+0.69+5.3 1.25+3.7+0.75 5.6-0.18-1.2 7.08+16+8.2 10+0.009+0.191 3.75-0.75-1.25 80-19.4-8.09-3.51 5.6+0.5-5.6+0.5 7.2+5.6-2.8 34.5-(17.2+4.5) 27.3+73.2+72.7 585+189+215 5.85-1.75- 0.25 768-274-126 5.85+1.89+2.15 24.8+14.6+15.4 42.5-22.17-7.83 3.8+1.37+6.2+12.63 45.55-(6.82+15.55) 34.52-17.87-12.23

4.57+3.17+3.43+ 5.83 23.75-8.64-3.36 17.83-9.5-7.83-0.5 3.45+8.7+16.55+1.3 8.54-5.96 27.38-5.34+2.62-4.66 21.63-(8.5+9.63) 45.55-(6.82+15.55) 34.52-17.87-12.23 4.7+3.17+3.43+ 5.83 23.75-8.64-3.36 17.83-9.5-7.83-0.5 3.45+8.7+1 6.55+1.3 2 7.38-5.34+2.62-4.66 21.63-( 8.5+ 9.63)

4.32-(1.26+2.34) 2.5+3.25+0.75+7.5 2.53+1.79+ 3.47 10.08- 4.79-1.21 17.05-(2.05-6.4) 3.73+5.28+ 4.27+4.72 103 +10017+100029 108米-1008米-1000 8米 3元5角+2元5角-1元8角3分 9.4+0.3-6.4 15.25+4.72+4.75+5.28 34.82-(4.82+15.2) 12.7-4.8-5.2 3.1+25.78+6.9 73.8-1.64-13.8-5.36 45.55-(6.82+15.55) 34.52-17.87-12.23 4.57+3.17+3.43+ 5.83 23.75-8.64-3.36 17.83-9.5-7.83-0.5

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

分数的加减法及简便运算.

分数的加减法 一、同分母的分数加减法 知识点:在计算同分母的分数加减法中,分母不变,直接用分子相加减。 注意:在计算同分母的分数加减法中,得数如果不是最简分数,我们必须将得数约分,使它成为最简分数。 例题一 5654+=5 10564=+=2 注意:因为5 10 不是最简分数,所以得约分,10和5的最大公因数是5, 所以分子和分母同时除以5,最后得数是2. 例题二 104 1059105109= -=-5 2= 注意:因为10 4 不是最简分数,必须约分,因为4和10的最大公因数 是2,所以分子和分母同时除以2,最后的数是5 2 知识点回顾:如何将一个不是最简的分数化为最简? (将一个非最简分数化为最简,我们就是将这个分数进行约分,一直约到分子和分母互质为止。所以要将一个分数进行约分,我们必须找到分子和分母的最大公因数,然后用分子和分母同时除以他们的最大公因数。) 专项练习一:同分母的分数加减法的专项练习 一、计算

715 - 215 712 - 112 1 - 916 911 - 711 38 + 38 16 + 16 314 +314 34 + 34 二、连线 19 + 4 9 2 7377+ 145 +1 5 1 8 987+ 47 + 67 137 115 11141+ 18 +78 29 11 9 3 92+ 2411 +511 5 9 2121+ 三、判断对错,并改正 (1)47 +37 = 714 (2)6 - 57 - 37 =577 -57 -3 7 =527 -3 7 =51 7 四、应用题 (1)一根铁丝长710 米,比另一根铁丝长3 10 米,了;另一根铁丝长多少米? (2)3天修一条路,第一天修了全长的112 ,第二天修了全长的5 12 ,第三天修了全长的几分之几?

四年级下册数学加减法简便计算练习题

四年级运算定律与简便计算练习题 一、运算定律 加法交换律字母表示为: 加法结合律字母表示为: 一个数连续减两个数,可以先算两个减数的和,再相减。字母表示为: 如果小括号前面前面是减号,去掉小括号,要改变括号里的运算符号。字母表示为: 二、加法的简便计算 403+627+597 355+260+140+245 99+321+101 (725+139)+261 (245+138)+(62+155) 999+322+99 486+198 546+695 398+124 549+301 728+4052 637+2989 三、减法的简便计算 486-197 782-498 1000-696 684-201 480-301 1000-505 375-168-75 402-192-18 469-128-169-72 1000-125-640-235 654+138-157-43 451-(251+130)865-(165+320)(678+249)-(158+149) 四、怎样简便就怎样计算 325-64+75-36 645-180-245 1022-478-422 987-(287+135)

672-36+64 36+64-36+64 564-298 564+298 382+165+35-82 487-287-139-61 500-257-34-143 2000-368-132 568-(68+178)155+256+45-98 514+189-214 369-256+156 700-201 1000-891 512+(373—212)228+(72+189)409-(230-91)897-72-28 897-72+28 四、应用题。 1、雄城商场1—4季度分别售出冰箱269台、67台、331台和233台。雄城商场平均每月售出冰箱多少台? 2、第三小组六个队员的身高分别是128厘米、136厘米、140厘米、132厘米、124厘米、127厘米。他们的平均身高是多少? 3、一本书共有326页,小明第一天看了65页,第二天看了35页,还剩多少页没有看? 4、黄山旅游景区周末上午迎来1398名中国游客,457名外国游客,中午离开了257名中国游客、198名外国游客,景区里还剩下多少游客? 五、列式计算 1、96减去35的差,乘63与25的和,积是多少? 2、2727除以9的商与36和43的积相差多少? 3、3与9的差除336与474的和,商是多少? 4、最大的两位数与最小的三位数的和与差的积是多少?

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

实验二一位8421BCD码加法器的设计

实验二一位8421BCD码加法器的设计 一、实验目的 1. 理解四位加法器7483和四位比较器7485的工作原理及使用 2. 掌握一位8421BCD码加法器的工作过程 3. 进一步熟悉Quartus软件的使用,了解设计的全过程, 二、实验内容 1.采用画原理图的方法设计一位8421BCD码加法器。要求使用四位 加法器7483和四位比较器7485及必要的逻辑门电路。 三、分析过程 7483是四位二进制加法器,其进位规则是逢16进1。而8421BCD 码表示的是十进制数,进位规则是逢10进1。用7483将两个1位BCD码相加时,当和小于等于9时,结果正确;当和大于9时,需加6进行修正。 实验中要求使用7483、7485及必要的逻辑门完成。由于7483通过输出引脚C4 S3 S2 S1 S o输出二进制的和,7485是四位比较器,关键在于如何通过7483及7485的输出判断何时应对结果修正以及如何修正。 由于两个1位十进制数相加时,和的取值范围是0—18,将该范围内各数值对应的二进制数和8421BCD码列表,以便寻找何时应对结果修正以及如何修正

从表中分析可得出如下结论: 当7483输出的二进制数的和为0---9时,即S3 S2 S1 SO W 9时结果正确,不需修正;当和为10-----15时S3 S2 S1 S)> 9时,需加6 修正,此种情况可将7483的输出S3 S2 S1 S0送入7485的输入引脚A3 A2 A1 A0 ,将1001(即卩9)送入7485另一组输入引脚B3 B2 B1 B0, 若7485的输出A> B=1,则说明需加6修正;当和为16、17、18时,结果需加6修正。此种情况7483的输出S3 S2 S1 S)v 9,但C4=1。 综合以上分析,当7483输出的二进制数的和S3 S2 S1 S)> 9或 C4=1时结果需修正。此修正的条件可通过7485的输出A> B和7483 输出的C4通过逻辑或门(OR1获得。当OR1输出为1时需修正,当OR1输出为0时不需修正。(分析出何时应对结果修正)

第一讲-加减法中的简便运算(二年级上)

第一讲加减法中的简便运算 一、加减法简便运算的注意点: 同级运算,括号外面是减号的,添上或去掉括号,括号里面的符号:加号要变成减号,减号要变成加号。 二、运算法则 加法(1)A+B=B+A; (2)(A+B)+C=A+(B+C). 减法(1)A-B-C=A-(B+C); (2)A-(B+C)=A-B-C. 三、例题 例1:运用加法中的凑整,计算:(1)98+37;(2)999+99+9. 解:(分析:(1)中的98接近于100,98+37可以看成100+37,多加了2,所以最后还要减去2; (2)中三个加数分别都接近整千,整百,整十数,我们可以把999+99+9看成1000+100+10,最后从它们的和中减去3,就可以得到答案.) (1)98+37 (2)999+99+9 =100+37-2 =1000+100+10-3 =137-2 =1110-3 =135 =1107 练一练:(1)68+103;(2)109+98+8. 例2:运用加法的交换律和结合律计算:345+27+655+373. 解:(分析:题目中的345与655、27与373分别能凑成整千、整百数,所以可以利用加法的交换律和结合律,先交换加数的位置,再凑整。) 345+27+655+373 =(345+655)+(27+373) = 1000+400 = 1400 练一练:计算329+67+233+271 例3:利用减法中的凑整计算:(1)375-98;(2)534-109. (分析:(1)中的98接近100,可以看成375-100,最后加上多减的2; (2)中109接近100,可以看成534-100,最后还好减去少减的9.) (1)375-98 (2)534-109 =375-100+2 =534-100-9 =275+2 =434-9 =277; =425. 练一练:(1)562-205;(2)624-96.

四位串行进位加法器设计

集成电路CAD课程设计报告 四位串行加法器设计 1串行进位加法器简介 1.1加法器实现多为二进制数相加的电路,称为加法器。根据进位方式不同,有串行进位加法器和超前进位加法器之分。采用串行进位方式,优点是电路简单,连接方便;缺点是运算速度不高。 原理:把四个全加器(例如两片74LS183)依次级联起来,便可构成四位串行进位加法器。因此四位串行进位加法器的设计可以分以下两步进行:(1)全加器设计;(2)将全加器级联,构成四位串行进位加法器 (a)(b) 图(1)四位串行加法器7483 1.2 图2为四位串行加法器7483逻辑图

图(2)四位串行加法器 2 四位串行进位加法器的设计实现: 2.1 输出级电路设计 与TTL电路兼容驱动10个TTL ①输出高电平时|IoH|<=20uAV oHmin=4.4V ②输出低点平时|IoH|<=20mAV oHmax=0.4V ③输出级充放电时间tr=tf 计算电路如图3所示 ①以15个PF的电容负载代替10个TTL电路来计算tr、tf ②输入V为的前一级的输出被认为是理想的输出,即:

ViL=Vss,ViH=Vdd ③计算电流时,负载为电流负载,有拉电流的灌电流。 图3 (1)CMOS N 管(W/L )N 的计算: 当输入为高电平时(Vi=Vdd ),N 管导通后级TTL 电路有较大的灌电流输入,此时(表示成对称形式) 使方括号中的值和栅电容Cox 及电子迁移率un 为最小值: o u t 00f f [] 200200)()(2V V V V V V C L W I tn i s tn ox N n dsn -----? ?? ??=μm ax 0m in 2 ox SiO ox t C εε= 2 30m ax 0m in - ??? ? ? ?=T T n n μμ

四年级加减法运算定律与简便运算练习题

四年级运算定律与简便运算练习题 (一)加、减法运算定律 1. 加法交换律 定义:两个加数交换位置,和不变。 字母表示:a+b=b+a 例如:16+23=23+16 546+78=78+546 2. 加法结合律 定义:先把前两个数相加,或者先把后两个数相加,和不变。字母表示:(a+b)+c=a+(b+c) 例1.用简便方法计算下式: (1)63+16+84 (2)76+15+24 (3)140+639+860 (4)63+1.6+8.4 (5)0.76+15+0.24 (6)1.4+639+8.6 举一反三: (1)46+67+54 (2)680+485+120 (3)155+657+245 (4)0.46+67+0.54 (5)6.80+485+1.20 (6)1.55+657+2.45

3.减法交换律、结合律 注:减法交换律、结合律是由加法交换律和结合律衍生出来的。 减法交换律:如果一个数连续减去两个数,那么后面两个减数的位置可以互换。 字母表示:b-c-a=c-b-a 例2. 简便计算: 198-75-98 346-58-46 7453-289-253 减法结合律:(1)如果一个数连续减去两个数,那么相当于从这个数当中减去后面两个数的和。 字母表示:a-b-c=a-(b+c) *****同学关键就是错这个概念,重点看 (2)如果一个数减去一个数,再加一个数,那么相当于从这个数当中减去后面两个数的差。 字母表示:a-b+c=a-(b-c) 例3.简便计算: (1)369-45-155 (2)896-580-120 (3)1823-254-746

(4)176-(76+52) (5) 268-(68+15) (6)345-(38+45) (7)156-48+48 (8)96-75+25 (9)164-57+37 (10)457-(158-43) (11) 186-(98-14) (12)234-(88-66) 4.拆分、凑整法简便计算 拆分法:当一个数比整百、整千稍微大一些的时候,我们可以把这个数拆分成整百、整千与一个较小数的和,然后利用加减法的交换、结合律进行简便计算。例如:103=100+3,1006=1000+6,… 凑整法:当一个数比整百、整千稍微小一些的时候,我们可以把这个数写成一个整百、整千的数减去一个较小的数的形式,然后利用加减法的运算定律进行简便计算。例如:97=100-3,998=1000-2,… 例4.计算下式,能简便的进行简便计算: (1)89+106 (2)56+98 (3)658+997

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

小学数学加减法简便运算练习100题(八)

小学数学计算练习100题(八)简便运算(加减法)班级:姓名:情况: 146-22-78 55+99+1 188-44-56 177-33-67 88+33+67 177-(77+22)33+77+67 569-73-69 199-61-39 166-22-78 99+44+56 888-(88+33)877-(77+22)99+44+1 122-77-23 66+11+34 134-89-11 78+23+22 24+68+76 13+57+87 145-2-98 23+67+33 862-65-62 767-12-67

89+34+11 78+23+77 712-67-12 89+34+66 156-12-88 2+46+98 879-(79+24)68+13+87 179-35-65 24+68+32 613-(13+57)679-35-79 168-24-76 13+57+43 746-2-46 79+24+21 180-36-64 569-25-69 668-(68+13)613-(13+35)624-79-24 113-68-32 124-79-21 658-(58+3)492-48-92 459-(59+4)448-(48+92)481-37-81

97+91+9 135-(35+76)47+91+9 336-(36+81)392-(92+37)381-(81+26)59+4+41 81+26+74 92+37+8 426-81-26 48+92+8 37+81+63 170-26-74 193-49-51 38+82+18 327-(27+71)468-(68+65)27+71+73 5+49+51 338-93-38 82+27+18 71+16+84 49+93+7 38+82+62

加减法运算电路的课程设计

加减法运算电路的设计 一、设计任务 设计参数 设计一个一位十进制并行加(减)法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 设计要求 根据技术参数设计电原理图;计算并选择电路元件及参数;仿真调试电路。 二、设计方案 设计电路原理: 1、置入两个四位二进制数。例如(1011)2,(0011)2和(0111)2,(0110)2,同时在两个七段译码显示器上显示出对应的十进制数10,3和7,6 2、通过开关选择加(减)运算方式 3、若选择加运算方式所置数送入加法运算电路进行运算;若选择减运算方式,则所置数送入减法运算电路运算 4、前面所得结果通过另外两个七段译码器显示 即显示结果: 若选择加法运算方式,则(0011)2+(0110)2=(1010)2 十进制3+6=9 并在七段译码显示器上显示 9 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示 -3 设计电路运算方案: 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U15和U16分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B 的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U13来显示结果的十位,U12显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U12即可显示结果。 三、电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。 由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示。

相关主题