搜档网
当前位置:搜档网 › 基于EDA的出租车计费系统的设计

基于EDA的出租车计费系统的设计

基于EDA的出租车计费系统的设计
基于EDA的出租车计费系统的设计

摘要

近年来随着各领域消费电子类的层出不穷,使得数字系统设计的节奏进一步的加快发展。数字系统快速发展最明显的一个标志是超大规模集成电路(VLSI)的迅速发展,使得各领域诸如消费类电子产品市场的研发与设计也进入到一个新的时代。上述技术的主要特点有:(1)各领域电子产品以及相关的工艺及设计技术的快速发展则更多更快地趋向于支持电子设计自动化即(Electronic Design Automation,EDA)工具;(2)同时在数字系统设计技术领域主要在基于硬件描述语言(HDL)的数字电路硬件设计与电路相关软件设计技术同时也得到了有机的融合;(3)这类数字系统数字电路的发展也快速向着高集成化、高层次化、低功耗等新型技术领域发展,这就不断的在验证这摩尔定律的规律;(4)同时数字系统设计及应用已经从单纯的专用集成电路(ASIC)设计逐渐走向了系统设计和可编程片上系统(System-on-a-Programmable-Chip,SOPC)设计。

本次设计主要使用硬件描述语言在SOPC系统中实现出租车计费器系统的设计,在巩固学习程序设计语言之后结合EDA工具QuartusⅡ在工具软件中对程序进行编写以及编译检查,确定程序正确无误之后进行整个工程的综合测试,通过综合测试之后然后进行前期程序的仿真,主要是通过使用Modelsim来对前期的逻辑设计功能进行验证与仿真。通过前期的验证仿真之后通过综合将程序下载烧写至FPGA中,本次下载程序主要通过两种方式,通过JATG接口即边界扫描测试的方式,这种下载方式只是对程序进行在线调试的作用,当FPGA控制掉电之后重新上电的时候程序则会丢失,因此在确定程序正确无误之后将程序通过AS接口下载到配置的相应的Flash芯片中,因为通过这种下载方式当FPGA控制器掉电之后重新上电FPGA会在Flash 中读取程序,然后加载到FPGA中开始运行。本次设计最终将程序烧写在FPGA开发板中实现现场演示其实际的功能,最终验证其设计的合理性与可行性。

第一章绪论

1.1 引言

现如今随着各种不同技术的数字电子技术的不断发展,各类电子系统的设计发生着巨大的变化,传统的一些电子设计方法已经慢慢开始退出电子设计领域的舞台,而基于数字系统数字化设计自动平台技术的集成电路设计技术已经开始慢慢成为电子领域数字电路数字系统设计的主流,并且这种技术也遵循这摩尔定律的规律在快速的发展,由刚开始的专用集成电路即ASIC电路快速地向着系统级及片上系统即SOPC的方向在发展。这都主要归功于大规模可编程逻辑器件CPLD和现场可编程门阵列FPGA两类可编程逻辑器件的快速发展与应用。很多电子设计工程师可以在办公室或者实验室里借助于这个平台设计出所需的专用集成电路及相关的大规模数字系统产品,从而在很大程度上缩短了各类产品的上市时间,同时也降低了产品的开发成本。

众所周知,现如今电子产品的设计其必经之路则是数字化的设计即数字化,这也是有目共睹的。在这个数字系统设计的发展的道路上,我国也随着经历了这场数字系统设计的道路,同时也继续在经历并且在这条道路上有了更远更好的发展与飞跃。数字电路的发展从刚开始应用一些较为简单的数字电路集成电路到后来出现的X86微处理器,这在整个数字系统的发展与设计过程中有着很重要的意义,同时在这个过程中也在不断克服不断创新发展自己的数字化集成电路的设计与发展。现场可编程门阵列(Field-Programmable Gate Array,FPGA)、复杂可编程逻辑器件(Complex Programmable Logic Device,CPLD)为例,这类大规模的可编程逻辑器件自从在1984年第一片可编程逻辑器件问世到现在可编程逻辑器件已经经历了快三十年的历史。在这三十年可编程逻辑器件的发展过程中,可编程逻辑器件从刚开始的约1200门发展到今天约几百万门,器件的工艺不断的在提升,同时器件的集成度、复杂度也在不断的提升,器件可支持的各类IP核也越来越完善。可编程逻辑器件的厂家也在不断地提升自己的生产工艺,优化自己的设计架构与结构性能,同时也在不断地降低产品的功耗和成本。每过一段时间都会推出很多具有高性能、高集成度、低功耗的产品和解决方案,同时也将可编程器件从传统的一些应用领域逐渐扩展到各个领域的消费类电子产品中。

1.2国内外相关研究动态

我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计

价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

国外,出租车的管理模式正朝着现代化的方向前进。现代化的出租车除安装有计价器外,卫星定位,地图、集群呼叫、车内电视等先进设施。这在欧美等同已进入实用阶段。应用GPS全球卫星定位系统,可以随时确定出租车所在的位置,这一直是出租车管理和调度部门渴望得到的。随着车载GPS终端技术的成熟和价格的降低,这种愿望已变成现实。在诸多的国外汽车管理系统中,GPS技术被综合利用,通过定位器的连接和调度中心服务系统的配合,所有空车的位置被登记在调度中心的网络上,调度员即可调度最近车辆。GPS技术也被用于非常情况下,对目标车辆的定位和跟踪,调度中心的电子地图可方便的显示任何一辆车的当前位置和其运动轨迹。无线数据传输技术也被用于出租车管理系统中。

1.3 主要工作内容及其意义

1、本课题需要研究的内容主要有以下几个方面:

(1)根据系统功能要求且考虑产品的性价比,进行系统的整体方案设计。该方案采用自顶向下的设计方法,以方便系统的调试和使用。

(2)系统硬件设计包括芯片的选型、所选芯片的功能、芯片外围电路的合理设计。主要内容有EDA的选择、主体电路的设计、电源电路设计、显示电路的设计等。

(3)系统模块设计有:信号输入模块:对车轮传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租车启动、停止、暂停、加速按钮,具有输入信号作用;实现计费功能和现场模拟功能;数据转换模块:将车费和路程转化为4位十进制数;译码/动态扫描模块: 将路程与费用的数值译码后用动态扫描的方式驱动数码管;数码管显示模块:将公里数和计费金额均用LED数码管显示;

(4)系统调试及系统的抗干扰性和稳定性。

2、课题意义

出租车计费器是一种按照一定的要求自动进行计费的装置,应用在出租车上使出租车行业的收费更加规范、方便。传统的出租车计费器由于发展使用了几十年,在稳定性、成本、以及使用习惯上都具有一些优势,但在实际使用中暴露出一些明显的问题,如:在运营过程中系统不是很稳定,有时造成死机的现象;而且在不同国家和地区的计费方式存在差异,即使在同题地区,不同车型的出租车,其计费方式也有差别。另外,计费器还面临软件升级的问题或者由于油价的跌幅,出租车还面临调价和调整计费方式等问题。因此,本次设计希望尝试一些新的方法来予以解决,并希望日益受到广泛的重视和运用。

1.4 本论文的章节安排

本章在第二章介绍了方案设计的论证与比较,第三章和主要是对硬件设计进行了详细设计,第四章是对本系统的软件进行详细设计,第五章介绍了系统的调试与仿真,最后进行本论文的总结。

2.1 基于EDA技术的出租车计费系统的设计方案

以FPGA为控制器,结合速度传感器,键盘、LCD显示屏、信号输入等电路组成,设计出一个出租车计费控制系统。

基于FPGA的出租车计费是外部输入模块,控制模块和显示模块三部分组成。控制模块是整个系统的核心。它是由分频模块,控制模块,计量模块和译码显示模块构成。

1)分频模块:分频模块是对系统时钟进行分频以得到低频系号。

2)控制模块:控制模块主要是完成对计费器状态的控制。

3)计量模块:计量模块完成计价,计时和计程的功能。

4)译码显示模块:译码显示模块完成计价,计时和计程数据显示。计数费据

送译码显示模块译码,最后送至以百元,十元,元,角为单位对应的数码管上显示。计时数据送入译码显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入译码显示模块进行译码,最后送至以Km为单位的数码管上显示。

5)基于FPGA的出租车计费器原理组成框图如图2.3所示:

图2.3 基于FPGA的出租车计费系统的原理框图

2.5 EDA技术简介

EDA技术即电子设计自动化,英文全称为:Electronic Design Automation,这门技术的定义是在上世纪的60年代中期逐渐发展兴起的一种电子设计技术。

在很多设计过程中利用EDA技术进行集成电路系统或者是电子系统的设计时,主要具有以下的几个特点:首先是通过利用软件的方式来设计硬件系统;

1)通过软件的方式设计的硬件系统到其硬件系统的转换是通过相关的开发软件工具来自动完成的,不需要过多认为的干涉;

2)在设计的各个阶段设计工程师可以通过一些软件仿真工具对其设计进行实时的仿真与验证;

3)这样的硬件系统设计可以进行现场编程,可执行在线修改升级等动作;

4)整个硬件系统可以集成在一个芯片上,主要有体积小、功耗低、可靠性高等优势;随着其可编程逻辑器件的不断更新,这种设计从以前的“组合设计”转向真正的“自由设计”;

5)整个设计的移植性好,其效率高;

6)在一个很大的设计团队中,非常适合其分工合作设计,具有其团体协作设计的优势。

2.6 硬件描述语言概述

现有的集成成电路系统设计过程中常用的硬件描述语言主要有VHDL、Verilog。VHDL起源于美国国防部的VHSIC,Verilog起源于集成电路的设计。

总之,作为一种硬件开发语言,VHDL语言不但拥有良好的描述,模拟,综合的功能,而且作为标准硬件描述语言也有优化和布线的功能。因此它可以使设计成果在设计人员之间方便地进行交流和共享,良好的团队协作使硬件电路设计的开发周期明显缩短的同时,个人的工作量也可以减小。

第三章系统硬件设计

3.1设计的主要技术指标

本设计出租车计费系统的电源由汽车上的电瓶提供,电源电压为+9~+16V。

速度传感器不需要设计,假设它具有汽车每运行1km提供1000个脉冲信号的特性。显示器可采用LED数码管/LCD液晶显示模块。实现计程车的计价器功能。一方面实现基本功能时,包括在行程中满足:

1、计费及显示:

(1)里程:即汽车行驶里程,用4位数字显示,显示方式为“XXX.X”,单位为km,精确到0.1km。

(2)单价:即里程单价,用3位数字显示,显示方式为“XX.X”,单价为元/km。

(3)等候时间:用4位数字显示分钟和秒,显示方式为“XX:XX”,当汽车形式速度

小于或等于12km/h时为等候。

(4)等候单价:在等候时间小于1小时的情况下,单价为1元每5分钟;在大于1小时后,等候单价为20元每小时。

(5)费用的计算:起价为5元,当里程小于2km时,按起价计算费用;当里程大于2km时按公式计算费用:费用=里程*里程单价+等候时间*等候单价

(6)费用的显示;用5为数字显示,显示方式为“XXX.XX”,单价为元。

2、时钟及显示:当出租车在常运状态下,应能显示当前的时间。在汽车熄火的情况下,时钟必须正常运行,但是可以不显示时钟。

3、计费开始提示:当出租车载上乘客并起步后,将空车指示牌扳倒时,空车指示牌里的指示灯熄灭,并有语音或灯光提示信号。

3.2设计的整体原理

首先通过传感器测出轮子是否有运动,若无运动,则自动开始记录时间,达到一定时间要加收时间等待费用,若在一定时间内运动了,则记录轮子的转圈数,从而自动计算出轮子转动的圈数的长度,由此计算出出租车行驶的距离。

3.3 硬件设计方案的实现

出租车计费器的控制系统以FPGA为核心控制器。如图3.1图所示,主要分为四个模块。分别为:秒分频模块、控制模块、计量模块和译码显示模块。秒分频模块使整个系统的同步工作,把系统提供的32M的晶振频率进行分频,得到所需要的秒信号(便于在以后的计时中用到)。控制模块是整个系统的核心部分,对各个部分起到了调控的作用。对计程车的工作状态进行具体的定义。计量模块也是系统中一个十分重要的模块,它分为三个部分,分别为计价部分、计时部分和计程部分,是出租车计费系统多功能实现的保证。最后一个译码显示模块作用在于把计费器的工作情况(价钱、行程数、行程时间)反馈给乘客。四个模块有机地结合在一起,实现了基于FPGA出租车计费系统的设计。

图3.1系统硬件结构设计框图

在该系统设计中其按键输入的部分主要采取自动按键作为键盘的输入模块,主要作为复位按键功能按键;输入部分还包括FPGA的时钟输入电路,该系统其主时钟为50MHz;电源电路,本系统设计中电源部分电路主要包括直流5V电源和直流3.3V电源以及直流1.2V电源,主要给系统主板、FPGA I/O、FPGA内部供电;下载电路部分主要为JTAG电路。具体的设计方案以及功能在下面也会详细介绍,这里不再做重点介绍了。

3.3.1 FPGA的选型

FPGA(Field Programmable Gate Array),即现场可编程门阵列,是美国Xiinx公司在20世纪80年代中期率先推出的一种高密度可编程逻辑器件。它由掩膜门阵列演变而来,是在GAL、CPLD、PAL等可编程器件的基础上进一步发展而得到的。综合了低密度的PLD的优点,但是与低密度的PLD相比,FPGA不受“与或”阵列机构,触发器和I/O数量的限制,它既解决了定制电路中的各种不足,又克服了原有可编程器件门电路数受到限制的缺点。它所完成的复杂逻辑电路是通过内部逻辑单元之间的链接来实现的。

本设计的FPGA最小系统芯片采用ALTERA的EP2C5T144C8N,该芯片具有40万系统门、8064个逻辑单元内嵌18K位块RAM,包含4个时钟管理模块和8个全局时钟网络,配置芯片(EPCS1),有源晶振,下载调试

接口,电源芯片:3.3V、1.2V AS、JTAG调试接口50 MHz最大支持264个用户I/O。这些丰富的片上资源在加上其灵活的编程方式使得该芯片成为最适合的选择。其引脚图如图3.2所示。

图3.2 EP2C5T144C8N引脚图

3.3.2 系统时钟电路设计

如图3.3所示为本系统设计中的时钟电路设计,如图中器件Y1所示,该电路中时钟电路所采用的晶振为50MHz的有源晶振,该有源晶振主要采用3.3V的直流电源作为系统时钟电路的供电电源。电路中C0电容主要的作用是在电路中作为滤波作用,其中电感L1的主要作用是隔离的作用,该电路的设计可稳定的输出系统设计要求所需的频率,经电路仿真和实际电路测试,该时钟电路可以稳定输出50MHz的时钟频率,在示波器中实际观察其频率漂移误差范围约(≤±100KHz),在示波器中实际测试观察可以看到频率中的纹波很小几乎可以忽略不计,该时钟电路是FPGA最小系统中常用的系统时钟电路,因此该设计电路非常可行,稳定性也很好。

图3.3 系统时钟电路

3.3.3 系统电源管理电路设计

如图3.4所示为本系统设计中的电源管理电路,如图所示自上到下依次为电源的复位电路,其作用是在死机的时候按下此键,能够在不断电的情况下,使其重新启动。中间一层和最下面一层分别为3.3V电源以及1.2V电源管理电路的主控制电路。电路中的电容C在电源管理电路主要作为3.3V电源以及1.2V电源的滤波,外面提供直流5V的电源,输入到电路中经过稳压芯片AMS1117,其中稳压芯片AMS1117-3.3主要输出3.3V的电源,主要提供给FPGA芯片外部供电,AMS1117-1.2主要输出1.2V的电压,则作为FPGA芯片内部供电。

图3.4 系统电源管理电路

3.3.4按键控制电路的设计

如图3.5所示为本系统设计中的按键控制电路,由于显示部分特殊要求,即计费停止后屏幕上再要保持计费的所有信息,只有当下次计费启动时才能清零从新开始计费,当按下键后,清零部分和启动部分同时进行,但清零只是瞬间的,计费指示灯亮起,再次按下键后,开关换到另外一端,空车指示灯亮起。

图3.5按键控制电路

3.3.5 LCD显示电路的设计

显示部分是建立在内部系统和观测人之间的桥梁,通过显示模块人们才得到重要信息。本设计的LCD显示部分采用LCD12864液晶显示屏,而LCD12864是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16*16点汉字,和128个16*8点ASCII字符集。控制器接口信号说明:

1、RS,R/W的配合选择决定控制界面的4种模式如图3.6所示:

2、E信号

图3.6 LCD12864接口信号说明

LCD显示电路图如下图所示:

图3.7 LCD显示电路

4.1 系统总程序流程图

设备先进行“计价器启动信号”按键的判断,再进行“计价停止信号”按键的判断,再进行“车辆暂停运行信号”的判断,当状态为运动时,每1米时传感器的脉冲计数加一,当计数到达一定的要求时,里程数加一,同时对价钱按要求进行计数,最终让它们显示在数码管上。系统总程序流程图如下图4.1所示。

图4.1系统总程序流程图

4.2 系统各个功能模块的实现

出租车计费器的控制系统以FPGA为核心控制器。主要分为四个模块。分别为:秒分频模块、控制模块、计量模块和译码显示模块。秒分频模块使整个系统的同步工作,把系统提供的50M的晶振频率进行分频,得到所需要的秒信号(便于在以后的计时中用到)。控制模块是整个系统的核心部分,对各个部分起到了调控的作用。计量模块也是系统中一个十分重要的模块,它分为三个部分,分别为计价部分、计时部分和计程部分,是出租车计费系统多功能实现的保证。最后一个译码显示模块作用在于把计费器的工作情况(价钱、行程数、行程时间)反馈给乘客。四个模块有机地结合在一起,实现了基于FPGA出租车计费系统的设计。

4.2.1秒分频模块

秒分频的对频率为100Hz的输入脉冲进行分频,得到频率为1Hz的频率。分频模块的电路符号图如下图4.2所示。从图中可以看出分频模块有两个输入信号,一个是clk时钟信号。另一个是计费器的使能信号start。

图4.2分频模块电路符号图

4.2.2控制模块

控制模块对整个系统进行控制作用,支配系统的工作状态。描述了出租车计费器整个工作过程的状态。它是计费器工作的核心。控制模块的电路符号图如下图4.3所示:从图中可以看到控制模块有3个输入端口即clk时钟信号,start计价使能信号和stop等待信号。

图4.3控制模块的电路符号图

4.2.3 计量模块

计量模块是本系统中一个十分重要的部分,是计程车计价器系统多功能实现的保证。计量模块其实在整个系统中就充当一个计算器的功能。它是计价、计时、计程功能的具体实现。

1)计价部分:起价为5元,当里程小于2km时,按起价计算费用;当里大于2km时按公式计算费用:费用=里程*里程单价+等候时间*等候单价然后再把计算出来的数分别以百元,十元,元,角的单位表示出来,送去显示译码模块。

(1)计价部分电路符号图如图4.4所示:图中的输出信号cha3,cha2,cha1,cha0分别表示的时计费输出部分的百元,十元,元,角的输出。

图4.4计价部分的电路符号图

2)计时部分:是对乘客的乘车时间进行计时(包括等待的时间和行进的时间),分别以秒和分的形式送去显示译码模块,到59分时自动归零。计时部分电路符号图如下图4.5所示:

图4.5计时部分电路符号图

3)计程部分:对当前行进过程中所走的公里数进行计算,最大范围为99公里。同样也送入译码显示模块。由于计程部分和计时部分的原理基本相同,不同的只是计时是是当计数器计到59时发生跳变,而计程时则是当计数器达到99时才产生一个跳变。计程部分的电路符号图如下图4.6所示:

图4.6计程部分

第五章系统调试与仿真

出租车计费器首先通过传感器测出轮子是否有运动,如果没有开动,则自动开始记录时间,达到一定时间要加收时间等待费用,如果在一定的时间内运动了,则记录轮子的转圈数,从而自动计算出轮子转动的圈数的长度由此计算出出租车行驶的距离。整体仿真图如下图5.1所示:

图5.1出租车计费系统的仿真图

5.1 秒分频模块的仿真

秒分频模块的波形仿真图如下图5.2所示:从图中可以看到当Q_1计数达到99的时候那么f_1就产生一个1公里脉冲信号。

图5.2分频器仿真图

5.2 计程部分的仿真

当启动键(start)为一个脉冲时,表示汽车已起动,车费(cost1)显示初价,路程(mileage)开始计数;当停止键(stop)为‘1’时,表示汽车停止,车费(cost1)和路程(mileage)都为‘0’;当暂停键为‘1’时,车费(cost1)和路程(mileage)的值都保持不变。在仿真中,先设定车子停止-运行-停止一个周期,再设一个停止-运行-停止周期,其中对暂停功能进行检测,其结果基本符合要求。输入端为:start, rst, pause,clk1 。输出端为:p, cost1,mileage;

图 5.3 计程模块仿真波形图

5.3 计价模块的仿真

该模块是把车辆营运时的等待(如堵车,红灯等情况下的车辆暂停)时间折算成费用,仿真结果如图 5.4 所示:输入端口为:stop, start, pause, p ,clk2;

输出端口为:cost2;

图5.4 计价部分仿真波形图

由仿真结果可以看出车辆启动后,产生秒脉冲clk2 输入该模块。当行驶路程小于 3 公里或计费不超过10 元时(即p 为“0”),等待时间不折算成费用。随着行驶路程变长,超出起步路程或价格后(即p 为“1”),车辆每暂停等待 3 分钟,费用增加0.5 元。当车辆停止(即rst 为“1”)时,模块数据清零,车辆开始运行(即rst 为“0”,start 为“0”)时,数据清零。

5.4 计费模块的仿真

该模块是一加法器,把车辆营运时的路程折算的费用和等待时间折算成的费用相加,计算出乘客所需付的总价格,仿真结果如图 5.5 所示:

输入端口为:cp,add1,add2;

输出端口为:cost;

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

出租车自动计费系统

课程设计

东北石油大学课程设计任务书 课程EDA技术课程设计 题目出租车自动计费系统 专业电子信息工程姓名邵文瀚学号080901140717 主要内容、基本要求、主要参考资料等 主要内容: 设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。 基本要求: 1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元; 2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。 3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。 4、用LED显示行驶公里数,两个数码管显示收费金额。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 大体设计思路为把传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,系统结构图如图。 2.设计框图 根据计费器设计要求,可将该系统分成四大模块,一块为计费模块,一块为时间计时模块,一块为路程控制模块,另一块为输出显示模块。

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

出租车系统设计

出租车计费系统设计 功能分析 本设计主要介绍了出租车计费系统的设计思路和过程,并给出了所涉及的相关知识的详细介绍。EDA技术应用,单片机的功能及应用,传感器检测技术,VHDL编程语言及汇编语言程序,显示系统设计及按键控制, 目前,普遍的出租车计费器仅仅具有时钟,起步价,里程计费,等待计费及显示几个功能。其发展前景是可观的,将来的产品除具有这些功能外,另外还可增加如下功能:防作弊功能,IC卡付费:顾客能在制定点购买一定额度的"顾客IC卡",乘车后可用IC卡付帐,付帐是否成功有相应的提示。车主可定期将总营业额写入"车主IC卡"中,并据此IC卡向所属公司领取报酬。车票资料打印:顾客付费后可打印发票,打印内容包括车主信息和车费信息等。可打印车主总营业额信息。语音播报:当乘客上车时,可自动问候乘客,当到达目的地,自动播报乘车费用并礼貌再见,表达希望下次乘坐的意思。增加的这些功能将会更好地为乘客服务。 计费及显示 ①里程,即汽车行程里程,用四位数字显示,显示方式为"XXX.X",单位为㎞,精确到0.1 ㎞。 ②单价,即里程单价,用三位数字显示,显示方式为"X.XX",单价为元/㎞,根据每天不同的时间段有两种情况:当时间段为06:00~23:00时单价为1.4元/㎞,其他时间段内单价为1.80元/ ㎞。 ③等候时间,用四位数字显示分钟和秒,显示方式为"XX:XX",等候的定义是:当汽车行驶速度小于或等于12㎞/h时为"等候"。在等候时间大于1小时的情况下,可以不显示等候时间,但必须对等候时间进行统计计算。

④等候单价,等候单价有两种情况:在等候时间小于1小时的情况下,等候单价为1元每5分钟;在等候时间大于1小时的情况下,等候单价为20元每小 时。 ⑤费用的计算,出租车的起价为5.00元,当里程小于2㎞时,按起价计算费用;当里程大于2㎞时按下式计算费用:费用=里程*里程单价+等候时间*等候单价。 ⑥费用的显示,用五位数字显示,显示方式为"XXX.XX",单价为元。 时钟及显示 当出租车在通常运行状态下,应能显示当前的时间,在汽车熄火的情况下,时钟必须正常运行,但是可以不显示时钟. 计费开始提示 当出租车载上乘客并起步后,将空车指示牌扳倒时,空车指示牌里的指示灯熄灭,并有语音或灯光提示信号。 功能模块设计 根据系统的设计要求,将整个测控FPGA系统CZJFXT分为七个模块,它们分别是:分频器模块FPQ,等待判别模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ。 分频器模块FPQ:将外部时钟信号SCLK(设计时假设为1024HZ)经过适当分频后,产生1HZ的系统工作用基准时钟信号CLK1HZ,供给系统中的有关模块计时用。 等待判别模块DDPB:根据速度传感器脉冲信号WCLK和分频器产生的基准时钟信号CLK1HZ,计算单位时间里WCLK的脉冲个数(每㎞产生1000

出租车计费系统的设计

一、设计目的 1 掌握电子电路的一般设计方法和设计流程; 2 学习使用PROTEL软件绘制电路原理图及印刷板图; 3 掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正 确性。 二、设计要求 设计一个出租车计费系统,具体要求如下: 1. 能够实现计费功能。按照太原出租车的计费标准。 2.能够实现显示功能。分别用四位数字显示行驶里程和总费用,计价分辨率为0.1元。 三、设计内容 1 设计过程 1)使用PROTEL软件绘制原理图。 2)制作PCB版图。 3)应用EWB对所设计的电路进行仿真。 2 设计框图 (1) 各个模块的功能说明 -74160,是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计 数脉冲的有效沿到来。 具体功能如下: 1.异步清零功能 只要(CR的非)有效电平到来,无论有无CP脉冲,输出为“0”。在图形符号中,

CR的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的 信号不是N-1(6),而是N(7)状态。其实,很容易解释,由于异步清零端信号 一旦出现就立即生效,如刚出现0111,就立即送到(CR的非)端,使状态变为 0000。所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。 清零端是低电平有效。 2.同步置数功能 当(LD的非)为有效电平时,计数功能被禁止,在CP脉冲上升沿作用下D0~ D3的数据被置入计数器并呈现在Q0~Q3端。若接成七进制计数器,控制置数 端的信号是N(7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据 就是0110。 7448七段显示译码器 7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。 7448的功能表如表5.3.4所示,它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下: 1. 灭灯输入BI/RBO BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI =0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。 表5.3.4 7488功能表

出租车计费系统

课程设计报告项目名称:出租车计价器设计与制作 课程名称:单片机技术 二级学院:电气与电子工程学院 系:电气工程系 班级:14电气4班 学号:29 学生姓名:黄凌周 小组成员:刘智超黄凌周 指导教师:钟立华 成绩:

报告完成日期2016年12月20日 目录 摘要2 1 课程设计任务书3 1.1 课程设计任务3 1.2 课程设计方案3 2硬件电路设计4 2.1 振荡电路4 2.2 复位电路设计5 2.3 键盘接口电路5 2.4 显示电路6 2.4.1 1602LCD的基本参数及引脚功能6 2.4.2 显示模块采用1602液晶显示接口电路7 2.5 单片机各引脚功能说明7 3软件设计10 3.1 单片机资源使用10 3.2 单片机软件模块设计10 3.2.1 中断子函数10 3.2.2 判键子函数11 3.2.3 显示子程序11 3.3 总程序流程框图12 总结13 参考文献14 附录1 元件件清单15

附录2原理图16 附录3 程序清单17 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

EDA课程设计(论文)-出租车计费系统verilog语言模板

摘要 摘要: 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用FPGA进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 设计好之后,用1602液晶显示器,显示你想要显示的数据。 关键词:出租车计价器控制 1602

目录 绪论 (3) 第一章系统设计 (4) 1出租车计费系统的概述: (4) 2设计的意义 (4) 3统设计要求 (4) 第二章系统设计方案 (5) 第三章主要模块 (6) 1 时间模块: (6) 2路程模块: (7) 3计费模块: (8) 4速度模块: (9) 5总的框图为: (10) 第四章硬件实现 (11) 1系统的调试方法 (11) 2系统调试的软/硬件 (11) 3 系统调试显示结果 (11) 小结 (13) 谢辞 (14) 参考文献: (15) 附录 (16) 程序: (16)

绪论 近年来,我国出租汽车行业迅猛发展,出租汽车已成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。它对繁荣经济、促进发展、方便群众、改善交通起到了积极作用。出租汽车对我国人民物质和文化生活影响之大、作用之广是前所未有的。出租汽车行业的服务水平和程度已经成为现代化的重要标志。 出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器量值准确与否,直接关系到经营者和消费者的经济利益,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能,而这些与电子技术的发展是分不开的。 二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI 的过程。同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计费系统VHDL

EDA课程设计: 出租车计费系统 学生姓名: 所在班级: 指导老师: 完成时间:

一、课程设计目的 1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力; 2、结合理论知识,考察阅读参考资料、文献、手册的能力; 3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧; 二、课程设计要求 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km 以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元),每公里加收50%的车费,车费变成按每公里3.3元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始 三、基本设计思想 1、根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。①计数器A完成车费百位。②计数器B完成车费十位和个位。③计数器C完成车费角和分。④计数器D完成计数到30(完成车费的起步价)。⑤计数器E完成模拟实现车行驶100 m的功能。 2、行驶过程中车费附加50%的功能:由比较器实现。 3、车费的显示:由动态扫描电路来完成。用专用模块来实现,完成数据的输入即动态数据的显示。 4、通过分析可以设计出系统的顶层框图如图3.1所示:

基于单片机的出租车计价器设计

基于单片机的出租车计价器设计 摘要 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。数据信息一目了然,并且即使是成品也可以方便的修改使用界面,更可根据不同的公司客户使用不同的界面。 该课题主要设计一款计价器,实现对出租车计价统计,采用时钟芯片DS1302实现在系统掉电的时候保存单价和系统时间等信息,输出采用8段数码显示管。本课题设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价。同时在不计价的时候还能作为时钟为司机同志提供方便。 关键词:出租车计价器;多功能;AT89C52;软硬件设计

The Design Of T aximeter Based On MCU Abstract Taxi meter is the trading of both passengers and driver standards, it is an important indicator in taxi industry ,and it is the most important tool of a taxi.It relates to the interests of both transactions.Good performance of the meter, whether the vast number of friends and passengers, taxi drivers are very necessary.A good performance of the meter is very necessary to passengers and taxi drivers.Therefore, the research to taxi meter is very valuable.The design of the meter by the analog circuit and digital circuit have a larger scale,using a lot of device , resulting in high failure rate, difficult to debug, the mode switch need to use mechanical switches, mechanical switches over time will cause poor contact, function is not easy to achieve.The use of MCU design and relatively powerful, with less hardware and appropriate software can be easily complement each other to achieve the design requirements, and flexibility, can be programmed through software to perform additional functionality.Billing model for the switch can be easily programmed through the software.Avoiding the instability caused by mechanical switches.Data information can be understand at a glance, and even the finished product can easily modify the user interface, but also according to different customers using different interfaces. In the paper we designed a taxi meter realize of a meter taxi valuation statistics, using the system clock chip DS1302 achieved when the power-down unit and the system saved time and other information, the output 8-segment digital display tube. The subject of the meter design can not only realize the basic valuation, but also according to day and night, halfway to wait to adjust the unit price. While not denominated as the clock for the driver when the comrades can facilitate. Keywords:taximeter;multifunction;AT89C52;software/hardware des ign

相关主题