搜档网
当前位置:搜档网 › NC-Verilog仿真详解

NC-Verilog仿真详解

NC-Verilog仿真详解
NC-Verilog仿真详解

ncverilog仿真详解

发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真

数位IC工具简介——Simulator 工具

ModelSim

ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援

VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体.

NCSim

NC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能.

NCVerilog

NC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.

VCS

VCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.

ncverilog使用

ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;

ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step

ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)

基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作

ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式

三命令模式:

ncvlog -f run.f

ncelab tb -access wrc

ncsim tb -gui

第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误

注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录

第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

注意:ncelab要选择tb文件的module,会在snapshot文件夹下生成snapshot的module文件

第三个命令中,gui选项是加上图形界面

在这种模式下仿真,是用― –‖的。而下边要说的ncverilog是采用― + ‖的

三命令模式下GUI界面较好用,其对应的命令会在console window中显示

注意:选择snapshot文件夹下生成的module文件进行仿真

单命令模式:

ncverilog +access+wrc rtl +gui

在这里,各参数与三命令模式相同。注意― + ‖

通常都使用单命令模式来跑仿真,但要配置好一些文件

单命令模式下文件的配置:

目录下有源文件、测试台文件、file、run四个文件

在linux下执行source run后再执行simvision来查看

run文件内容: ncverilog +access+rw -f file

file文件内容: cnt_tb.v(注意把tb文件放在前)

cnt.v

tb文件中应该包含:

initial

begin

$shm_open(―wave.shm‖);//打开波形保存文件wave.shm

$shm_probe(cnt_tb,‖AS‖);//设置探针

end

A — signals of the specific scope 为当前层信号设置探针

S — Ports of the specified scope and below, excluding library cells

C — Ports of the specified scope and below, including library cells

AS — Signals of the specified scope and below, excluding library cells 为当前层以以下层信号都设置探针,这是最常用的设置方法

AC — Signals of the specified scope and below, including library cells

在simvison中,左边窗口是当前设计的层次化显示,右边窗口是左边选中模块中包含的信号

查看结果时可以在source schemic wave register四个窗口同时查看

保存波形信号的方法:

1.SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.

2.VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.

$dumpfile(―filename‖); //打开数据库

$dumpvars; //depth = all scope = all

$dumpvars(0); //depth = all scope = current

$dumpvars(1, top.u1); //depth = 1 scope = top.u1

$dumpoff //暂停记录数据改变,信号变化不写入库文件中

$dumpon //重新恢复记录

3.Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.

如果要在ncverilog仿真时,记录信号, 首先要设置debussy:

a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))

b. while invoking ncverilog use the +ncloadpli1 option. ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtr

fsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD

注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: ―+access+rw‖, 否则没有读写权限

学习心得

本人刚开始学习NCVerilog和Debussy, 有一点小小的心得与大家分享。

工作环境是sun服务器。

假定我的设计文件为alu.v, alu_test.v(第二个是testbench).

1、在alu_test.v中加入如下代码

initial

begin

$fsdbDumpfile(―alu.fsdb‖); // 创建fsdb文件供Debussy调用,这样就可以观察波形了,名称自定义。

$fsdbDumpvars(0,alu_test); // alu_test为alu_test.v中的顶层模块名

end

2、ncverilog +access+r alu.v alu_test.v

3、debussy alu.v alu_test.v &

这样就可以在debussy中打开fsdb文件来看波形了。

Ncverilog 常用命令使用详解

我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(ncelab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。三命令模式命令如下:

ncvlog -f run.f

ncealb tb -access wrc ncsim tb -gui

第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误。第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

第三个命令中,gui选项是加上图形界面

值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。单命令模式

ncverilog +access+wrc rtl +gui

在这里,各参数与三命令模式相同。注意“ + ”。

在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。

+cdslib+... 设定你所仿真的库所在

+define+macro ... 预编译宏的设定

+errormax+整数当错误大于设定时退出仿真

+incdir+path 设定include的路径

+linedebug 允许在代码中设定line breakpoint

+log+logfile 输出到名为logfile的文件中

+status 显示内存和CPU的使用情况

+work 工作库

+access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c) +gui 显示图形交互界面

+input script_file 输入脚本文件

+licqueque 如无licence等待licence

+run 如果在GUI交互界面下, 启动后将自动开始仿真

+loadpli1=... 动态加入PLI

+timescale 设定仿真单位和精度

+nocopyright 不显示版权信息

液压挖掘机工作装置在ADAMS中的运动仿真解析参考文本

液压挖掘机工作装置在ADAMS中的运动仿真解 析参考文本 In The Actual Work Production Management, In Order To Ensure The Smooth Progress Of The Process, And Consider The Relationship Between Each Link, The Specific Requirements Of Each Link To Achieve Risk Control And Planning 某某管理中心 XX年XX月

液压挖掘机工作装置在ADAMS中的运动仿真解析参考文本 使用指引:此安全管理资料应用在实际工作生产管理中为了保障过程顺利推进,同时考虑各个环节之间的关系,每个环节实现的具体要求而进行的风险控制与规划,并将危害降低到最小,文档经过下载可进行自定义修改,请根据实际需求进行调整与使用。 虚拟样机技术在使用过程中为液压挖掘机设计提供了 有效的方法和手段,在使用过程中受到了条件限制,较少 的单位会对运行学进行仿真研究,降低了色剂方案可行 性。文章基于动力学仿真软件ADAMS建立起了挖掘机工 作装置虚拟系统,更好的完成了前期处理工作,使得建模 正确性更高。 液压缸顺序工作的运动仿真分析 1.1.基于尺寸确定 当液压的挖掘机工作装置尺寸以及基本结构都确定下 来之后,该挖掘机的工作范围也基本确定下来。简单理解 就是挖掘机铲斗齿尖轨迹的包络图得以确定。在包括图

中,有些部分区间靠近的比较紧密,有的会深入到挖掘机停点底部下,这一个位置虽然还可以挖掘到,但是在挖掘过程中会引起土壤坍塌,从而影响机械运行稳定,使得施工安全性受到影响。在以上动臂液压缸、斗杆液压缸和铲斗液压缸运动仿真分析过程中,选择的挖掘机工作顺序和方式一般都是在装置范畴内,这里讲解的顺序指的是,挖掘工作进行时,各个油缸都是根据一定顺序进行收缩或者伸出。例如:挖掘进行时,需要先下降动动臂,再收回斗杆,这个动作完成之后,在使用铲斗进行挖掘。 1.2.顺序工作运动仿真实现的路线 仿真路线是,在斗杆液压缸、动臂液压缸、铲斗液压缸上进行设置,一般在不同的时间段内,它的运动驱动函数都不同,需要进行调节处理,使得各缸在相应的工作极限范围内相互运行,这样就可以获得挖掘机的工作范围。可以在液压缸移动副约束处添加移动驱动,改变运动方

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

ADAMS机构设计与分析

曲柄滑块机构的仿真与分析: 图中件1、2、为齿轮,按圆柱建模,其中齿轮2半径350mm、厚度50mm;齿轮1半径150mm、厚度40mm;件3连杆(宽150mm;厚60mm)、件4长方体滑块(长600mm、宽300mm、高400mm),要求整个模型与栅格成对称状态。其中:齿轮1材料密度为7.8 10-3kg/cm2;连杆3质量Q=65kg,惯性矩Ixx=0.132kg.m2,Iyy=6.80kg.m2,Izz=6.91kg.m2;滑块4材料为铝。 绘图步骤简介: 步骤1:启动ADAMS/View程序 1)选择MD Adams>Adams-view MD 2010 2)在打开的对话框中选择create a new model 。 3)选择start in 后在单击,在自己指定的工作目录下新建的一个文件夹,以保存样机模型。 4)在model name栏中输入模型名称:model_lixiang 5)在gravity选项栏中选择earth normal(-global Y)。 6)在units文本框设定为MMKS—mm、kg、N、s、deg 。 7)单击ok按钮。如图:

步骤2:设定建模环境 1)选择settings>working grid,按图所示进行设置工作栅格大小及间距。 2)单击ok按钮,可看到工作栅格已经改变。 3)在主工具箱中选择,显示view控制图标。 4)按F键或在主工具箱中单击,可看到整个工作栅格。 步骤3:样机建模 1、创建设计点 1)在集合建模工具集中,单击点工具图标 2)在主工具箱的选项栏中选择添加到零件上add to ground。 3)在建模视窗中,先点击ground,再选择该点,点击右键,打开修改点对话框,修改坐标为A(-800,-20,20),重复此过程,依次创建点B(-300,0,25)、C(0,0,0)、D(1000,0,0) 2、创建驱动齿轮1 1)在集合建模工具集中,单击圆柱工具图标、。 2)在主工具箱的选项栏中选择新零件new part 3)在长度选项输入40mm、半径选项输入150mm,如图(1)。 4)在建模视窗中,点击点(-800,-20,20),水平拖动鼠标至点的右边点击,创建圆柱体5)旋转圆柱体与屏幕垂直:鼠标放在圆柱体左端附近,点击右键,选择标记点marker菜单,

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

(完整版)Adams运动仿真例子--起重机的建模和仿真

1起重机的建模和仿真,如下图所示。 1)启动ADAMS 1. 运行ADAMS,选择create a new model; 2. modal name 中命名为lift_mecha; 3. 确认gravity 文本框中是earth normal (-global Y),units文本框中是MKS;ok 4. 选择setting——working grid,在打开的参数设置中,设置size在X和Y方向均为20 m,spacing在X和Y方向均为1m;ok 5. 通过缩放按钮,使窗口显示所有栅格,单击F4打开坐标窗口。 2)建模 1. 查看左下角的坐标系为XY平面 2. 选择setting——icons下的new size图标单位为1

3. 在工具图标中,选择实体建模按钮中的box按钮 4. 设置实体参数; On ground Length :12 Height:4 Depth:8 5. 鼠标点击屏幕上中心坐标处,建立基座部分 6. 继续box建立Mount座架部件,设置参数: New part Length :3 Height:3 Depth: 3.5 设置完毕,在基座右上角建立座架Mount部件 7. 左键点击立体视角按钮,查看模型,座架Mount不在基座中间,调整座架到基座中间部位:

①右键选择主工具箱中的position按钮图标中的move按钮 ②在打开的参数设置对话框中选择Vector,Distance项中输入3m,实现Mount 移至基座中间位置 ③设置完毕,选择座架实体,移动方向箭头按Z轴方向,Distance项中输入2.25m,完成座架的移动 右键选择座架,在快捷菜单中选择rename,命名为Mount 8. 选择setting—working grid 打开栅格设置对话框,在set location中,选择pick 选择Mount.cm座架质心,并选择X轴和Y轴方向,选择完毕,栅格位于座架中心

非常有用的将参数从verilog传送到c

非常有用的将参数从verilog传送到c 自行寫一個System Task,能夠接受由Verilog的reg或wire傳給C的值。 Introduction 使用環境: Cadense NC-Verilog 5.4 + Visual C++ 6.0 在此文件,將學習到 1.如何將參數從Verilog傳到C? 2.如何撰寫簡單的compiletf? 3.如何從C抓到Verilog傳來的參數? show_value.c / C 1#include 2#include "vpi_user.h" 3 4PLI_INT32 show_value_compiletf(PLI_BYTE8 *user_data) { 5 vpiHandle systf_handle, arg_iterator, arg_handle; 6 PLI_INT32 arg_type; 7 8// obtain a handle to the system task instance 9 systf_handle = vpi_handle(vpiSysTfCall, NULL); 10if (systf_handle == NULL) { 11 vpi_printf("ERROR: $show_value failed to obtain systf handle\n"); 12 vpi_control(vpiFinish, 0); 13 14return -1; 15 } 16 17// obtain handles to system task arguments 18 arg_iterator = vpi_iterate(vpiArgument, systf_handle);

ADAMS实例仿真解析

ADAMS大作业 姓名:柴猛

学号:20107064 目录 绪论 (1) 模型机构 (2) 模型建立 (3) 约束添

加 (9) 运动添加 (11) 模型仿真 (14) 小结 (17) 参考文献 (17)

绪论 大型旋挖钻机是我国近年来引进、发展的桩工机械, 逐步取代了对环境污染严重、效率低下的其它建筑工程桩孔施工机械。旋挖钻机的钻桅变幅机构对整机布局和操纵稳定性影响很大, 它是实现钻孔位置变化及改变钻桅位置状态的关键部件。钻桅是旋挖钻机主执行机构的重要支撑, 其为钻具、调整机构、加压系统等提供结构支撑, 整个桅杆对于保证整机的正常运行和工作质量起着至关重要的作用。 旋挖钻机主要是运用于灌注桩施工,功能为钻孔。而在当今灌注桩施工中旋挖钻机具有优于其它方式的优点: 1.钻井效率高; 2.成孔质量好; 3.环境污染小。 本文主要是对旋挖钻机的钻桅举升装置进行运动仿真分析。

模型机构 钻桅举升装置主要由钻头,钻杆,变幅机构,桅杆以及油缸组成, 工作过程:对孔,下钻,钻进,提钻,回转,卸土六个主要步骤。 对孔:为了保证钻桅的垂直度,采用了平行四边形平动机构,并结合液压杆及回转机构完成孔的定位; 下钻:由于钻具质量大,应控制其下降速度,将钢丝绳与钻杆通过回转接头连接,采用卷扬提升系统控制钻具的升降;钻进:通过动力头驱动扭矩并传递给钻杆,再由钻杆传递给钻钭以实现钻进;提钻:与下钻具有相同的控制系统和运动过程; 回转:由回转机构完成;卸土:通过卷扬系统和连杆的旋转来完成。

模型建立 把实际模型按比例缩 小 一.底座 因为底座不参与运动分析,所以可以用方块代替底座:

Adams动力学仿真分析的详细步骤

1、将三维模型导出成parasolid格式,在adams中导入parasolid格式的模型,并进行保存。 2、检查并修改系统的设置,主要检查单位制和重力加速度。 3、修改零件名称(能极大地方便后续操作)、材料和颜色。首先在模型界面,使用线框图来修改零件名称和材料。然后,使用view part only来修改零件的颜色。 4、添加运动副和驱动。 注意: 1)添加运动副时,要留意构件的选择顺序,是第一个构件相对于第二个构件运动。 2)对于要添加驱动的运动副,当使用垂直于网格来确定运动副的方向时,一定要注意视图定向是否对,使用右手法则进行判断。若视图定向错了,运动方向就错了,驱动函数要取负。 3)添加运动副时,应尽量使用零件的质心点,此时也应检查零件的质心点是否在其中心。 4)因为在仿真中经常要修改驱动函数,所以应为驱动取一个有意义的名称,一般旋转驱动取为:零件名称_MR1,平移驱动取为:零件名称_MT1。 5)运动副数目很多,且后面用的比较少,所以运动副的名称可以不做修改。对于要添加驱动的运动副,在添加运动副后,应马上添加驱动,以免搞错。 6)添加完运动副和驱动后,应对其进行检查。使用数据库导航器检查运动副和驱动的名称、类型和数量,使用verify model检查自由度的数目,此时要逐个零件进行自由度的检查和计算。 7)进行初步仿真,再次对之前的工作进行验证。因为添加了材料,有重力,但没有定义接触,此时模型会在重力的作用下下掉。若没问题,则进行保存。 5、添加载荷。

6、修改驱动函数。一般使用速度进行定义,旋转驱动记得加d。 7、仿真。先进行静平衡计算,再进行动力学计算。 8、后处理。 具体步骤如下: 1)新建图纸,选择data,添加曲线,修改legend。一般需要线位移,线速度,垂直轮压和水平侧向力的曲线。 2)分析验证,判断仿真结果的正确性(变化规律是否对,关键数值是否对)。 3)截图保存,得出仿真分析结论。

NCveriog安装指南

Ncverilog 安装指南 一。eda安装环境的搭建(建议) 1 在主文件夹下建立soft文件夹,里面建立edasoft文件夹,在edasoft下建立source.source文件用来保存软件的配置。 2打开source.source文件,输入下面内容: export EDA_HOME=~/soft/edasoft 关闭保存。 3在终端执行: gedit ~/.bashrc 打开用户bash的配置文件,在文件最后新起一行,输入: source ~/soft/edasoft/source.source 保存关闭。 二。安装IUS(或者IFV)(内含ncverilog)工具 需要的工具: Float_Cadence_LicGen.tar IUS09.20.007L1P1.tar.gz 如下图: 安装环境:ubuntu11.10,已经安装了可能需要的一些包(在旋风处可以获取)。1.分别解压两个包,进入IUS09.20.007L1P1,在此目录下打开终端,运行:sudo chmod 777 SETUP.SH 然后再: ./SETUP.SH 就会如下图所示:

点击enter键直到出现: 在后面输入你要安装的目录,如:~/soft/edasoft/IUS 回车继续: 下来惠询问安装路径:

然后会跳出图形界面安装InstallScape: 点击next直到下图,选上所有安装项(除去含64bit字样的,那个是64bit的): 下一步安装:

安装完会提示是否配置,选yes: 选上所有进行配置。在询问是否配置lisence时选否:

之后完毕,close。 2、现在配置lisence。进入刚解压出来的Float_Cadence_LicGen文件夹: 修改all.dat的内容,只修改第二行,注意和你的IUS安装目录对应: 回到Float_Cadence_LicGen文件夹,在该文件夹下打开终端,输入如下指令: wine lmcrypt_cdslmd -i all.dat -o key.cadence -verfmt 6 -r 如下: 执行后会产生key.cadence文件。重命名为cadencelisence.dat,放到你的安装目录下:

ADAMS 柔性体运动仿真分析及运用

ADAMS 柔性体运动仿真分析及运用 焦广发,周兰英 (北京理工大学机械与车辆工程学院100081) 摘要介绍了ADAMS柔性体基本理论及在ADAMS中生成柔性体的几种方法,并构建机械系统仿真模型.通过一个实例验证了ADAMS 柔性体运动仿真分析的实效. 关键词:ADAMS 柔性体运动仿真继电器 Application of ADAMS flexible body kinetic simulation Jiao guangfa Zhou lanying (Beijing institute of technology ,school of mechanical and vehicular engineering , Beijing 100081 ) Abstract Introduced the basic theory of ADAMS flexible body and some methods of adding flexible bodies to a model to study the dynamic characteristics of the mechanical system1,constructed mechanical system simulation model1 Tested the validity of the ADAMS flexible kinematical simulation through an example1. Key words :ADAMS Flexible body Kinetic simulation relay ADAMS全称是机械系统自动动力学分析软件,它是目前世界范围内最广泛使用的多体1系统仿真分析软件,其建模仿真的精度和可靠性在现在所有的动力学分析软件中也名列前茅.机械系统动力学仿真分析是机械设计的重要内容,过去分析时建立的模型,其构件都是属于刚体,在作运动分析时不会发生弹性变形.而实际上,在较大载荷或加、减速的情况下,机构受力后会有较大的变形和位移变化,产生振动.ADAMS的分析对象主要是多刚体,但ADAMS提供了柔性体模块,运用该模块可以实现柔性体运动仿真分析,以弹性体代换刚体,可以更真实地模拟出机构动作时的动态行为,同时还可以分析构件的振动情况[1]. 一、ADAMS柔性体理论及生成柔性体的几种方法 ADAMS柔性模块是采用模态来表示物体弹性的,它基于物体的弹性变形是相对于连接物体坐标系的弹性小变形,同时物体坐标系又是经历大的非线性整体移动和转动这个假设建立的.其基本 基金项目:北京市重点学科建设(XK100070424);北京理工大学基金(0303E10) 作者简介:焦广发(1982—),男,河北人,硕士,主要研究方向为动力学仿真,有限元分析和表面涂层技术. 思想是赋予柔性体一个模态集,采用模态展开法,用模态向量和模态坐标的线性组合来表示弹性位移,通过计算每一时刻物体的弹性位移来描述其变形运动.ADAMS柔性模块中的柔性体是用离散化的若干个单元的有限个结点自由度来表示物体的无限多个自由度的.这些单元结点的弹性变形可近似地用少量模态的线性组合来表示. ADAMS提供了四种生成柔性体的方法,对于外形简单的构件,可以采用直接生成柔性件的方法,即拉伸模式;对于外形复杂的构件,可以采用先建刚性件, 再进行网格划分的模式, 即构件网格模式(Solid). 1) 拉伸法生成柔性体:首先要确定拉伸中心线,再定义截面半径、单元尺寸、材料属性等,最后定义好柔性体跟其它构件的连接点即外连点,就可以生成柔性体.模型生成柔性件的同时生成模态中性文件,该模态中性文件中包含了柔性件的质量、质心、转动惯量、频率、振型以及对载荷的参数因子等信息.将模型中原有的刚体件上的运动副修改在柔性件上,使柔性件与模型上的其它构件连接起来,同时删除无效的刚性件.这样可以使模型保持原有的自由度,从而实现柔性构件的运动仿真运算.

Ncverilog 命令使用详解

Ncverilog 命令使用详解 我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(n celab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。 三命令模式命令如下: ncvlog -f run.f ncealb tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb 文件放在首位,这样可以避免出现提示timescale的错误。 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。 第三个命令中,gui选项是加上图形界面 值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。 单命令模式 ncverilog +access+wrc rtl +gui 在这里,各参数与三命令模式相同。注意“ + ”。 在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。 +cdslib+... 设定你所仿真的库所在 +define+macro ... 预编译宏的设定 +errormax+整数当错误大于设定时退出仿真 +incdir+path 设定include的路径 +linedebug 允许在代码中设定line breakpoint +log+logfile 输出到名为logfile的文件中 +status 显示内存和CPU的使用情况 +work 工作库 +access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c) +gui 显示图形交互界面 +input script_file 输入脚本文件 +licqueque 如无licence等待licence +run 如果在GUI交互界面下, 启动后将自动开始仿真 +loadpli1=... 动态加入PLI +timescale 设定仿真单位和精度 +nocopyright 不显示版权信息

adams分析报告

ADAMS机构分析报告 一题目描述 题目:两个支点和中间法兰盘对夯锤切割次序的控制 图1所示的机构在行程中自动地从一个支点换到另一个支点。 图1 法兰和夯锤组成的切割机换向机构 1.运行情况 如图1中(A)可知,法兰盘被安装在切割机机架的上支点上,而切割夯锤在下支点与法兰盘相连。法兰盘下端连接有法兰支撑活塞,夯锤中间有止推块,下端有刀片。在循环工作开始时,夯锤绕着下支点旋转并用方型刀片切割平板;中间法兰盘的运动受到法兰支撑活塞的限制。在切割后,夯锤停在法兰盘的底部,如图1(B)所示。之后,有切割力作用的夯锤克服了法兰支撑活塞的约束力,并且夯锤绕着上支点转动。从而使得斜向刀刃对平板做斜向切割。 2. 实现的功能 在切割力作用下夯锤开始运动时,由于法兰盘有法兰支撑活塞,法兰盘不转动,夯锤绕下支点转动,用方型刀片切割平板。之后由于夯锤止推块的作用使夯锤停在法兰盘的下端,之后克服了法兰支撑活塞的约束力,并绕上支点转动,从而实现夯锤不要更换刀片即可改变切割方向。 二.^ 三.机构的运动简图及自由度 机构的运动简图如图2、图3所示:

图2 机构的运动简图 图3 机构的三维渲染运动简图 自由度的计算:DOF=∑--i i n n )1(6=2

四.大致确定其运动尺寸 机构的运动尺寸如图4所示: ¥ 图4 转位机构的大致尺寸 四.分析目的 分析机构能否达到题目中描述的运动要求,即夯锤可否绕设计点旋转, 实现在不更换刀片的前提下,改变刀片切割方向。

五.模型描述 图5 机构分析图 1机构的构建 该机构构件数量少,主要由夯锤、中间法兰盘组成,且各组成构件结构简单,利用adams 建模即可完成,无需通过专业CAD建模。 (1)夯锤的建立夯锤结构简单,有多种方法建立,首先建立三个marker点,分别为marker19、marker15、marker2。然后先去工具箱中拉伸命令,设置如图6所示,用点来创建,并选择close,表示选取曲线闭合,之后分别点取marker19、marker15、marker2,点

ncverilog

ncverilog仿真详解 发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真 数位IC工具简介——Simulator 工具 ModelSim ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援 VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体. NCSim NC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能. NCVerilog NC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. VCS VCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. ncverilog使用(2009-04-20 16:07:14) ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核; ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真) 基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作 ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式 三命令模式: ncvlog -f run.f ncelab tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误 注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

adams运动仿真教学

起重机的建模和仿真,如下图所示。 1)启动ADAMS 1. 运行ADAMS,选择create a new model; 2. modal name 中命名为lift_mecha; 3. 确认gravity 文本框中是earth normal (-global Y),units文本框中是MKS;ok 4. 选择setting——working grid,在打开的参数设置中,设置size在X和Y方向均为20 m,spacing在X和Y方向均为1m;ok 5. 通过缩放按钮,使窗口显示所有栅格,单击F4打开坐标窗口。 2)建模 1. 查看左下角的坐标系为XY平面 2. 选择setting——icons下的new size图标单位为1 3. 在工具图标中,选择实体建模按钮中的box按钮 4. 设置实体参3.53.数;

On ground Length :12 Height:4 Depth:8 5. 鼠标点击屏幕上中心坐标处,建立基座部分 6. 继续box建立Mount座架部件,设置参数: New part Length :3 Height:3 Depth: 3.5 设置完毕,在基座右上角建立座架Mount部件 7. 左键点击立体视角按钮,查看模型,座架Mount不在基座中间,调整座架到基座中间部位: ①右键选择主工具箱中的position按钮图标中的move按钮

②在打开的参数设置对话框中选择Vector,Distance项中输入3m,实现Mount 移至基座中间位置 ③设置完毕,选择座架实体,移动方向箭头按Z轴方向,Distance项中输入2.25m,完成座架的移动 右键选择座架,在快捷菜单中选择rename,命名为Mount 8. 选择setting—working grid 打开栅格设置对话框,在set location中,选择pick 选择Mount.cm座架质心,并选择X轴和Y轴方向,选择完毕,栅格位于座架中心 选择主工具箱中的视角按钮,观察视图

Modelsim NC-Verilog仿真理解

Modelsim/NC-Verilog仿真理解 仿真(Simulation),也称为模拟,是对所有集成电路或系统的一种检测方法。用户可以在设计过程中对整个系统和部分模块进行仿真,即在计算机上用仿真软件验证功能是否正确、各部分的时序配合是否正确。如果有问题可以随时进行修改,从而避免逻辑错误。高级的仿真软件还可以整个系统设计性能进行评估。设计规模越大就越需要进行仿真。 仿真包括功能仿真和时序仿真。在设计输入阶段按进行仿真,不考虑信号延时等因素成为功能仿真,又称前仿真;时序仿真又称为后仿真,他在选择了具体器件并完成了布局布线以后进行的含定时关系的仿真。由于不同器件的内部延时不同,不同的布局、布局方案也给延时造成了很大的影响,因此在设计实现后,对网络和逻辑块进行延时仿真,分析定时关系,估计设计性能非常有必要。 要进行电路仿真必须有仿真器的支持。常用的Verilog仿真器有:ModelSim、Verilog-XL、NC-Verilog和VCS等。下面我们简单介绍下我们常用到的ModelSim、NC-Verilog两款仿真软件特点、区别。 一、ModelSim ModelSim是Mentor Graphics子公司ModelSim Technology的产品,是业界优秀的HDL 语言仿真软件之一,它是编译型的VerilogHDL/VHDL混合仿真器。MoselSim可以在同一设计中单独或混合使用Verilog HDL和VHDL,允许Verilog HDL模块调用VHDL的实体,或用VHDL模块调用Verilog HDL的实体。由于ModelSim是编译型仿真器,使用编译后的HDL 库进行仿真,因此在进行仿真前,必须所有待仿真的HDL文件编译成为HDL仿真库,在编译时使源文件获得优化,提高了仿真速度。 Model Sim只完成逻辑功能的仿真,并不考虑具体使用什么器件,学习HDL或者设计逻辑的时候compile一次所用的时间很短,便于调试找出逻辑的错误。所以初学仿真推荐使用Model Sim。 ModelSim可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow 窗口查看某一单元或模块的输入输出的连续变化。 ModelSim提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度

基于ADAMS的多杆机构运动仿真分析

基于ADAMS的多杆冲压机构运动仿真分析 摘要:使用Adams软件可以对多杆机构进行建模和运动仿真分析,同时得出从动件的各类运动参数。本文建立了一个简化的齿轮多杆冲压机构的模型,进行了运动仿真,对执行机构的重要参数并进行了测量和分析,判断该机构的运动是否满足加工特性,为以后该类机构的设计工作积累经验。 关键词:运动仿真分析;齿轮多杆机构;Adams 1引言 连杆机构是许多机械上都广泛使用的运动机构。它的构件运动形式多样,如可实现转动、摆动、移动和平面或空间复杂运动,有着显著的优点如:运动副单位面积所受压力较小,且面接触便于润滑,故磨损减小;制造方便,易获得较高的精度和较大的机械效益等。故一般的锻压加工,冲压加工,插齿加工等都采用了多杆机构的设计。 本文分析的冲压机构在冲制零件时,冲床模具必须先以较大速度冲击样坯,然后以均匀速度进行挤压成型,模具快速将成品推出型腔,最后,模具以较快速度完成返回行程。图1为本文冲压机构简图。 图1 齿轮冲压机构简图 2冲压主运动机构及其工作原理 齿轮多杆机构的如图1所示,构件1、2为齿轮配合,齿轮1由电机驱动,连杆3连接大齿轮和4、5、6组成的曲柄滑块机构,当主动齿轮1转动时,从而实现滑块6(冲床模具)的直线往复运动。

3机构的建模与仿真 3.1 建模参数的确定 在简图1中,设原动件1匀速转动(m=2,z1=20,w=60r/min),齿轮 (2m=2,z2=45),各杆件长度为l3=80mm,l4=150mm,l5=98mm。 3.2模型的建立 ①通过杆长条件,确立了初始位置的8个点的坐标,通过Adams中的Table Editor写入如图3.1 图3.1 初始位置各构件端点坐标 写入后的各端点建模如图3.2 图3.2 端点位置确定 ②在POINT_1和POINT_7处分别建立大小齿轮的模型 选择Main Toolbox中的圆柱模块,分别以分度圆直径40mm、90mm,

adams 挖掘机建模过程及运动仿真

挖掘机建模过程及运动仿真 完成如下挖掘机简化模型,并进行运动过程仿真及受力分析: 挖掘机总体结构图 1 建立挖掘机模型 1.1建立挖掘机底盘模型 运行adams ,建立新模型,设置工作网格大小为5000x2000,间隔为100mm 。 使用工具box 建立模型,length=4200,height=900,depth=2800,设置为on ground ; 再在box1左右两端建立box2和box3,length=1200,height=900,depth=1600,设置为on ground ;使用位置调整工具,调整box2和box3的位置在box1沿z 轴方向的中间,使用布尔运算工具从box1中减去box2和box3; 使用工具Cylinder 建立旋转支撑部分,length=200,radius=700,设置为add to part ,调整位置,使其位于box1中间。 使用fillet 工具将box1左右倒圆角,radius=450; 底盘模型建立完成,如图1-1所示 车身 底盘 动臂 斗杆液压缸 动臂液压缸 斗杆 铲斗 摆杆 下连杆 铲斗液压缸 上连杆

图1- 1挖掘机底盘 1.2建立车架模型 使用工具box建立模型,length=2400,height=300,depth=2200,设置为new part; 使用工具box建立动臂支架座,length=200,height=900,depth=500,设置为add to part; 使用工具box建立两个动臂液压缸支架座,length=200,height=300,depth=200,设置为add to part; 使用位置调整工具调整支架座的位置,使动臂支架与液压缸支架座x向间距500mm,两液压缸支架座z向间距700mm,;动臂支架位于两液压缸支架z向中间位置; 使用工具fillet对支架上侧倒圆角,radius=100; 使用工具marker,在各支架座圆弧圆心沿z轴中间位置建立marker点,液压缸支架上为marker16、marker16,动臂支架为marker14; 修改模型名称为chejia;模型完成如图1-2所示:

NCVerilog设计秘诀与点评

NCverilog NCVerilog设计秘诀与点评 1. * S) ]8 E. K" x% Z This approach allows completely transparent mixed language, mixed-level, and mixed cycle-event simulations. It also lays the foundation for mixed signal simulations. ' y+ l3 s- ? E, q + C' J9 o+ Y4 C' w c 2.External Interface: (1) VHDL: VHPI,OMI (2) Verilog: PLI, VPI, OMI% F9 z9 W1 G( J( [$ y (Modelsim和VCS也有这个功能) (PLI用的比较多,仿真器一般自带常用的PLI。)8 s: s: o: u' _. a( y3 k( Z$ y (如果需要添加FSDB支持,需要将debussy提供的libpli.dll和libpli.lib 拷贝到tools/lib下面)_/ Z {; m X9 X+ ]; t E7 Y 3. After elaboration, Single executable code stream, Affirma NC Simulator; ) P# J) n. h% [" D 4. Code Coverage ??? 5. Verilog supported: $ e: Y1 k( @: f1 h/ j (1) OVI 2.0; 3 `8 t0 [- N0 i- o (2) IEEE 1364; (3) Verilog-XL implementation; 6. NC-Verilog use: Library.Cell:View 0 [" {/ k" r, Z/ _4 } cds.lib: This file contains statements that define your libraries and that map logical library names to physical directory paths. 7 S f8 ~# `$ n8 L# h4 j% O+ W hdl.var:This file defines which library is the work library. ( c3 i7 y6 e/ Y# T, F* q 7. You can write a setup.loc file to change the directories to search or to change the order of precedence to use when searching for the cds.lib and hdl.var files. & T& R$ o6 ]5 ?1 O+ f, [2 a

相关主题