搜档网
当前位置:搜档网 › 模拟电子技术实验指导书

模拟电子技术实验指导书

模拟电子技术实验指导书
模拟电子技术实验指导书

目录

实验一常用仪器仪表的使用 (1)

实验二信号运算电路 (3)

实验三单级共射放大电路 (7)

实验四电压比较器 (11)

实验五RC正弦波振荡器 (15)

实验要求

1、实验前必须充分预习,完成指定的预习任务。预习要求如下:

(1)认真阅读实验指导书,分析、掌握实验电路的工作原理,并进行必要的估算。

(2)完成各实验“预习要求”中指定的内容。

(3)熟悉实验任务。

(4)复习实验中所用各仪器的使用方法及注意事项。

2、使用仪器前必须了解其性能、操作方法及注意事项,在使用时应严格遵守。

3、每人一台实验箱,独立完成实验。

4、实验时接线要认真,相互仔细检查,确定无误才能接通电源,初学或没有把握应经指导教师审查同意后再接通电源。

5、实验时应注意观察,若发现有破坏性异常现象(例如有元件冒烟、发烫或有异味)应立即关断电源,保持现场,报告指导教师。找出原因、排除故障,经指导教师同意再继续实验。

6、实验过程中需要改接线时,应关断电源后才能拆、接线。

7、实验过程中应仔细观察实验现象,认真记录实验结果(数据、波形、现象) 。所记录的实验结果经指导教师审阅后再拆除实验线路。

8、实验结束后,必须关断电源,并将仪器、设备、工具、导线等按规定进行整理。

9、实验后每个同学必须按要求独立完成实验报告。撰写三份实验报告:第一份包括实验一、二,第二份为实验三,第三份包括实验四、五。

实验一常用仪器仪表的使用

一、实验目的

1、学习电子电路实验中常用的电子仪器——数字示波器、函数信号发生器、数字万用表的使用方法。

2、掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。

二、预习要求

阅读“实验原理”部分,掌握示波器、函数信号发生器、数字万用表的使用方法,及模拟电子电路实验中常用仪器、仪表的连接方法。

二、实验原理

在模拟电子电路实验中,经常使用的仪器仪表有示波器、函数信号发生器、直流稳压电源等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。

实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。

图1-1 模拟电子电路中常用电子仪器布局图

1、数字示波器

示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。

数字示波器使用操作要点如下:

(1)将示波器探头与待测信号相连。

(2)按下AUTO按钮,示波器将自动设置垂直、水平和触发控制。如需要,可手动调整这些控制使波形显示达到最佳。

(3)按下MEASURE自动测量功能键,系统显示自动测量操作菜单。本示波器具有多种自动测量功能,包括峰峰值、幅值、平均值、周期、频率等。

(4)记录波形参数。

2、函数信号发生器

函数信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫,在电子电路实验和设备检测中具有十分广泛的用途。

函数信号输出操作方法

①选择输出通道;

②选定输出信号的波形,分别获得正弦波、三角波、脉冲波;

③频率设定,可用数字键或调节旋钮输入频率值;

④幅度设定,可用数字键或调节旋钮输入幅度值。

3、数字万用表

数字万用表可测量直流电压、直流电流、交流电压、交流电流、电阻、电容、晶体管直流电流放大倍数等。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。

四、实验内容

1、掌握仪器、仪表的操作方法

按照实验原理中给出的操作方法,熟悉、掌握示波器、函数信号发生器和万用表的使用方法。

2、用示波器测量信号

把函数信号发生器和示波器相连,调节函数信号发生器,使输出10KHz、10V P-P正弦信号到示波器输入端。调节示波器,使显示稳定的波形,测出V P-P和信号频率,画出信号波形。

五、实验思考题

1、示波器显示屏上的波形很密,是否就说明所测信号的频率很高?

2、示波器显示的波形不稳定,应调节哪个旋钮?

实验二 信号运算电路

一、实验目的

1、掌握由集成运算放大器组成的比例、加法、减法和积分等基本运算电路的工作原理、特点和功能。

2、学会上述电路的测试和分析方法。

二、预习要求

估算各表中的理论值。

三、实验原理

集成运算放大器是一种具有高电压放大倍数的直接耦合多级放大电路。当外部接入不同的线性或非线性元器件组成输入和负反馈电路时,可以灵活地实现各种特定的函数关系。在线性应用方面,可组成比例、加法、减法、积分、微分、对数等模拟运算电路。

1、反相比例运算电路

电路如图2-1所示。对于理想运放, 该电路的输出电压与输入电压之间的关系为

图2-1 反相比例运算电路 图2-2 求和电路

2、求和电路

电路如图2-2所示,输出电压与输入电压之间的关系为

)U R R

U R R (

U i22

F i11F O +-= R 3=R 1 // R 2 // R F 3、同相比例运算电路

图2-3(a)是同相比例运算电路,它的输出电压与输入电压之间的关系为

i 1

F

O )U R R (1U +

= 当R 1→∞时,U O =U i ,即得到如图2-3(b)所示的电压跟随器。图中R 2=R F ,用以减小漂移和起保护作用。一般R F 取10KΩ, R F 太小起不到保护作用,太大则影响跟随性。

i 1

F

O U R R U -

=

(a) 同相比例运算电路 (b) 电压跟随器

图2-3 同相比例运算电路

4、求差电路

对于图2-4所示的减法运算电路,当R 1=R 2,R 3=R F 时, 有如下关系式

)U (U R R U i1i21

F

O -=

A

V i2

V o

F

100k Ω

R 1

10k Ω

R 3

10k Ω

A B R 2

10k Ω

V i1

图2-4 求差电路图

四、实验内容

1、反相比例运算电路

(1)按图2-1连接实验电路,接通±12V 电源,并连接好GND 。 (2)按表2-1内容进行实验并测量记录数据。

直流输入电压U i (mV )

30 100 300 1000 输出电压U 0(mV) 理论估算(mV)

实测值(mV)

误差

(2)输入f =100Hz ,U im =0.5V 的正弦交流信号,用示波器测量相应的U om ,并观察u O 和ui 的相位关系,记入表2-2。

表2-2U im =0.5V,f=100Hz

U im(V)U om(V)u i波形u O波形A u

实测值计算值

2、同相比例运算电路

(1)按图2-3(a)连接实验电路。

(2)按表2-3内容进行实验并测量记录数据。

表2-3

直流输入电压U i(mV)30 100 300 1000

输出电压U0(mV) 理论估算(mV) 实测值(mV)

误差

(3)输入f=100Hz,U im=0.5V的正弦交流信号,用示波器测量相应的U om,并观察u O和ui的相位关系,记入表2-4。

U im(V)U om(V)u i波形u O波形A u

实测值计算值

(4)按图2-3(b)连接实验电路,重复内容(3),结果记入表2-5。

i

=0.5V f=100Hz

U im(V)U om(V) u i波形u O波形A u

实测值计算值

3、求和电路

(1)按图2-2连接实验电路。

(2)按表2-6内容进行实验并测量记录数据。

U i1(V) 0.3 -0.3

U i2(V) 0.2 0.2

U O(V) 理论估算(mV) 实测值(mV)

4、求差电路

(1)按图2-4连接实验电路。

(2)按表2-7内容进行实验并测量记录数据。

五、实验报告要求

1、整理实验数据,画出波形图(注意波形间的相位关系)。

2、将理论计算结果和实测数据相比较,分析产生误差的原因。

3、分析讨论实验中出现的现象和问题。

实验三 单级共射放大电路

一、实验目的

1、学会放大器静态工作点的测量和调整方法,分析静态工作点对放大器性能的影响。

2、掌握放大器动态性能指标的测试方法。

3、熟悉常用电子仪器及模拟电路实验设备的使用。

二、预习要求

1、阅读教材中有关分压式偏置共射放大电路的内容并估算实验电路的性能指标(设β=50)。估算放大器的静态工作点,电压放大倍数A u ,输入电阻R i 和输出电阻R O 。

2、当调节偏置电阻R P ,使放大器输出波形出现饱和或截止失真时,晶体管的管压降U CE 怎样变化?

3、改变静态工作点对放大器的输入电阻R i 有否影响?改变外接电阻R L 对输出电阻R O 有否影响?

4、在测试A u ,R i 和R O 时怎样选择输入信号的幅值和频率?为什么信号频率一般选1KHz ,而不选100KHz 或更高?

三、实验原理

图3-1 单级共射极放大电路实验电路

图3-1为电阻分压式工作点稳定单管放大器实验电路图。 1、静态工作点的测量与调整

CC

v o

+

(1) 静态工作点的测量

放大电路直流电压+12V ,不加交流输入信号(输入端与接地端短接)。选用万用表的直流电压档,测量直流电压V B 、V C 、V E 。然后利用公式计算E E EQ CQ /R V I I =≈或

C C CC CQ /)(R V V I -=。

测量静态工作点的目的是为了了解静态工作点的设置是否合适。如果测出V CEQ <0.5V,说明三极管已经进入了饱和区;如果V CE ≈V CC ,则说明三极管工作在截止状态。对于一个放大电路来说,这两种情况下静态偏置都不能使电路正常工作。如遇到这两种情况,或测量值与选定的静态工作点不一致,就需要对静态工作点进行调整。一般是通过调整偏置电阻R B1或R B2来实现。

(2) 静态工作点的调整

静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时v O 的负半周将被削底,如图3-2(a)所示;如工作点偏低则易产生截止失真,即v O 的正半周被缩顶(一般截止失真不如饱和失真明显),如图3-2(b)所示。这些情况都不符合不失真放大的要求,应调节静态工作点的位置。

(a) (b)

图3-2 静态工作点对u O 波形失真的影响

改变电路参数U CC 、R C 、R B (R B1、R B2)都会引起静态工作点的变化,如图3-3所示。但通常多采用调节偏置电阻R p 的方法来改变静态工作点,如减小R p ,则可使静态工作点提高等。

最后还要说明的是,上面所说的工作点“偏高”或“偏低”不是绝对的,应该是相对信号的幅度而言,如输入信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切地说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好尽量靠近交流负载线的中点。

静态工作点的调整即I CQ 的调整,可分为两个步骤:

① 静态调整。放大电路加直流电压+12V ,不加交流输入信号。用万用表测量直流电压V B 、V C 、V E 的值是否合适,若不合适,调节电位器。

② 动态调整。从信号发生器输出f = 1kHz , V P-P = 100mV 的正弦信号接到放大电路的输入端,用示波器观察输出电压波形。如果发现输出波形的正半周或负半周出现削波失

真,在表明静态工作点的设置不合适,需要重新调整。调节电位器阻值,直到输出波形不失真为止。

2、放大器动态指标测试 (1) 测量电压放大倍数

由函数发生器输出1KHz 、峰峰值约100mV 的正弦交流信号到放大电路的输入端。用示波器观察放大电路输入、输出电压的波形,在输出信号没有明显失真的情况下,读出V O 和V i 的大小,于是可得i o V V A /u 。 (2)测量幅频特性及通频带带宽

通常采用“逐点法”来测量幅频特性。将一个频率可调的正弦信号加到放大电路的输入端,保持输入信号的大小不变,逐点改变信号的频率,用示波器测出对应的输出电压值,计算出各频率点的对应增益,将其整理到半对数坐标纸上,将所测各频率点的电压增益连成曲线,即可得该放大电路的幅频特性曲线。

幅频特性曲线上电压增益下降到中频区电压增益的0.707倍(即-3dB)时所对应的频率即为该放大电路的上限频率f H 和下限频率f L ,放大电路的带宽BW = f H – f L 。

四、实验内容

实验电路如图3-1所示。各电子仪器可按实验一中图1-1所示方式连接。 1、调整、测试静态工作点

调整电位器阻值,改变放大电路静态工作点,观察输出电压波形。用万用表测量放大电路的静态值,填入表3-1。

2、测中频电压增益

由函数发生器输出1KHz 、峰峰值约100mV 的正弦交流信号到放大电路的输入端。用示波器观察放大电路输入、输出电压的波形。在输出波形无失真的情况下,读出电压幅值,并计算出电压增益i o V V A /u 。 3、采用“逐点法”来测量幅频特性。

由函数发生器输出峰峰值约100mV 的正弦交流信号到放大电路的输入端。保持输入信号的幅值大小不变,逐点改变信号的频率,用示波器测出对应的输出电压值,填入下表,并计算出各频率点的对应增益。将其整理到半对数坐标纸上,将所测各频率点的电压增益连成曲线,即可得该放大电路的幅频特性曲线。由幅频特性曲线读出放大电路的上限频率

五、实验思考题

1、加大输入信号幅值时,输出波形可能会出现哪些失真?分别是由什么原因引起的?

2、电路中上偏置电阻R B1起何作用?可否不要R B1?

3、影响放大电路的上限频率和下限频率的因素有哪些?可以采用什么措施来降低下限频率?

六、实验报告要求

1、列出各实验项目的有关数据,相关的计算公式及计算结果。

2、在半对数坐标纸上精细画出放大电路的幅频特性曲线。

3、回答实验思考题。

实验四 电压比较器

一、实验目的

1.掌握比较器的电路构成及特点。 2.学会测试比较器的方法。 二、预习要求

1.复习单门限电压比较器的电路组成及工作原理。

2.掌握单限比较器、迟滞比较器门限电压、回差电压、输出高电平、输出低电平等参数的估算方法。

3.电压比较器中的运放通常工作在什么状态(负反馈、正反馈或开环)?一般它的输出电压是否只有高电平和低电平两个稳定状态? 三、实验原理

1.单门限电压比较器

电压比较器是用来比较两个输 入电压的大小,据此决定其输出是高电平还是低电平。以图10-1所示的同相电压比较器电路为例,参考电压V REF 加于运放的反相端,V REF 可以是正值或负值。而输入信号v I 加于运放的同相端。

A

REF

V I

V ID

V +

-

O

V O

V V

I

V V

OH

V OL

V REF

V (a)电路图

(b)传输特性

图4-1 单门限电压比较器

由于比较器的开环电压增益很大,当输入信号v I 小于参考电压V REF ,即

时,运放处于负饱和状态;v o 为低电平V OL ;反之,当v I 升高到略大于

V REF ,即

时,v o 转入正饱和状态,v o 为高电平V OH 。

以图10-1所示的同相电压比较器电路为例分析可知,比较器输出v o 的临界转换条件是集成运放的差动输入电压

,即

。由此可求出图1a 电路的电压传输特性,

如图10-1b 所示。当v I 由低变高经过V REF 时,v o 由V OL 变为V OH ;反之,当v I 由高变低经过V REF 时,v o 由V OH 变为V OL 。我们把比较器输出电压v o 从一个电平跳变到另一个电平时相应的输入电压v I 值称为门限电压或阈值电压V th ,对于图10-1a 所示电路,

由于v I 从同相输入且只有一个门限电压,故称为同相输入单门限电压比较器。反之当v I 从

反相端输入,V REF改接到同相端,则称为反相输入单门限电压比较器。其相应传输特性如图10-1b中的虚线所示。

2.过零比较器

对于图4-1a所示电路,当,则输出电压每次过零时,输出电压就产生跳变。这种比较器称为过零比较器。

图4-2 过零比较器

如果希望减小比较器的输出电压幅值,可外加双向稳压管D z,如图4-2所示。这时,输出电压的幅值受D z的稳压值V Z限制,电路的正向输出幅度与负向输出幅度基本相等。

或。电阻R起限流作用,保护稳压管。

3.迟滞比较器

单门限电压比较器虽然有电路简单、灵敏度高等特点,但其抗干扰能力差。例如,在单门限电压比较器输入v I中含有噪声或干扰电压时,其输入和输出电压波形如图4-3所示,由于在v I=V th=V REF附近出现干扰,v O将时而为V OH,时而为V OL,导致比较器输出不稳定。如果用这个输出电压v O去控制电机,将出现频繁的起停现象,这种情况是不允许的。提高抗干扰能力的一种方案是采用迟滞比较器。

图4-3

A

O

V I

V REF

V O

V V

I

V V

OH

V OL

V 0

T V -T V +

(a)电路图 (b)传输特性

图4-4 迟滞比较器

迟滞比较器是一个具有迟滞回环特性的比较器。以图4-4a 所示为反相输入迟滞比较器原理电路,它是在反相输入单门限电压比较器的基础上引入了正反馈网络,其传输特性如图2b 所示。如将v I 与V REF 位置互换,就可组成同相输入迟滞比较器。

以反相输入迟滞比较器原理电路为例,由于比较器中的运放处于开环状态或正反馈状态,因此一般情况下,输出电压v O 与输入电压v I 不成线性关系,只有在输出电压发生跳变瞬间,集成运放两个输入端之间的电压才可近似认为等于零,即

(1)

设运放是理想的并利用叠加原理,则有

(2)

根据输出电压v O 的不同值(V OH 或V OL ),可求出上门限电压V T+和下门限电压V T –分别为

(3) (4)

门限宽度或回差电压为

(5)

设电路参数如图10-4a 所示,且

,则由式(3)~(5)可求得

。 设从

开始讨论。

当v I 由零向正方向增加到接近 前,v O 一直保持

不变。当v I 增加到略大于

,则v O 由V OH 下跳到V OL ,同时使v P 下跳到

。v I 再增加,v O 保持

不变。

若减小v I ,只要

,则v o 将始终保持

不变,只有当

时,

v o 才由 图4-4 跳到V OH 。其传输特性如图10-4b 所示。

由以上分析可以看出,迟滞比较器的门限电压是随输出电压v o 的变化而改变的。它的灵敏度低一些,但抗干扰能力却大大提高了。 四、实验内容

1.过零比较器

实验参考电路如图4-5所示。

A

i

V o

V R 1

10k Ω

R 2

5.1k Ω

6V

图4-5 过零比较器

(1) 将信号发生器接入Vi ,使输出频率f =500Hz ,幅值为1.5v 的正弦波信号,用示波器观察Vi —V o 波形并记录。

(2) 根据Vi —V o 波形,画出电压传输特性曲线,得出阈值电压V T ,将V T 的实测值和理论值进行比较。

2.反相滞回比较器

(1) 按图4-6连接好实验电路,并将R F 调整为100k Ω。将信号发生器接入Vi ,并使之输出频率为500Hz ,电压幅值为1.5v 的正弦信号,用示波器观察Vi —V o 波形并记录。测出正向阈值电压和负向阈值电压。

(2) 将电路中RF 调为50k Ω,重复上述实验。

A

i

V o

V R 3

5.1k Ω

6V

R F

680k Ω

R 2

10k Ω

R 1

10k Ω

图4-6 反相滞回比较器

五、实验报告要求

1.整理实验数据及相关波形,与理论预算值相比较。 2.总结几种比较器的特点。

实验五 RC 正弦波振荡器

一、实验目的

1.掌握桥式RC 正弦波振荡器的电路构成原理。 2.熟悉正弦波振荡器的测试方法。 二、预习要求

1.复习RC 桥式振荡器的工作原理。

2.图5-2所示电路中,调节R1起什么作用,两个二极管起什么作用? 三、实验原理与参考电路

1.基本RC 桥式振荡

电路如图5-1所示,它由两部分组成,即放大电路

和选频网络V

F &。由图中可知由于Z 1、Z 2和R 1、R f 正好形成一个四臂电桥,因此这种振荡电路常称为RC 桥式振荡电路。

A

Z1

Z2

C

C

R

R

R1

f

R V

A O

V i f

V V

图5-1 RC 桥式振荡电路

由图可知,在 时,经RC 反馈网络传输到运放同相端的电压

相,即有

。这样,放大电路和由Z 1、Z 2组成的反馈网络刚好形成

正反馈系统,可以满足相位平衡条件,因而有可能振荡。

实现稳幅的方法是使电路的R f /R 1值随输出电压幅度增大而减小。起振时要求放大器的增益

>3,例如,R f 用一个具有负温度系数的热敏电阻代替,当输出电压

加使R f 的功耗增大时,热敏电阻R f 减小,放大器的增益下降,使 的幅值下降。如果参

数选择合适,可使输出电压幅值基本恒定,且波形失真较小。

由于集成运放接成同相比例放大电路,它的输出阻抗可视为零,而输入阻抗远比RC 串并联网络的阻抗大得多,可忽略不计,因此,振荡频率即为RC 串并联网络的

。RC 串并联网络构成正弦振荡电路的正反馈,在

处,正反馈系数

,而R 1和R f 当构成电路中的负反馈,反馈系数

。F +与F -

的关系不同,导致输出波形的不同。

2.如图5-2 ,RC 桥式振荡电路由RC 串并联网络和同放大电路组成,图中RC 选频网络形成正反馈电路,并由它决定振荡频率,R3和Rp 形成负反馈回路,由它决定起振的幅值条件和调节波形的失真与稳幅控制。

在满足1212,R R R C C C ====的条件下,该电路的: 振荡频率 01

2f RC

π=

起振幅值条件 13a b

vf a

R R A R +=

≥ 即 2b

a

R R ≥ 式中43,//b d d R R R r r =+为二极管的正向动态电阻。 四、实验内容

1.(注意)图5-2实验所需全部电容、定值电阻已焊接,可调电阻与实验箱工具区33K 用长实验导线相连,电源+12V ,-12V ,GND 用长实验导线相连。

2.用示波器观察输出波形,调整Rp ,使输出波形稳定且无失真,记录波形。

A1

R1

10k Ω

Vo

R2

10k Ω

C 1

0.1μF

C20.1μF

Rp

33k Ω

R3

3k Ω

R4

10k Ω

图5-2

五、实验报告要求

1.分析理论计算填写实验值误差的原因。 2.分析反馈电位器及二极管的作用。 六、思考题

1.图5-2中,正反馈文路是由_____组成,要改变振荡频率,只要改变_____或_______的数值即可。

2.图5-3中,1Rp 和R1组成______反馈,其中______是用来调节放大器的放大倍数,使Au >3的。

10k 1Rp

C 10.1μ

图5-3

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

模拟电子技术基础-教案

*******学院课程教案*** ~ ***学年第一学期 教学系(部) 教研室计科教研室 课程名称模拟电子技术基础 年级、专业、班级 主讲教师 职称 / 职务 使用教材

模拟电子技术基础课程说明 一、课程基本情况 课程类别:学科基础课 总学时:32学时 实验、上机学时:8学时 二、课程性质 本课程是计算机科学与技术专业的学科基础课,主要介绍常用半导体器件、基本放大电路、集成运算放大器及其应用、直流稳压电源等内容的工作原理。 三、课程的教学目的和基本要求 通过本课程的学习,使学生掌握模拟电路的基本原理及分析方法,学会常用电子仪器的使用,能应用这些基本概念和基本分析方法来分析工程实际中的模拟电路,为后续数字逻辑、计算机组成原理做铺垫,并具有一定的解决工程实际问题的能力。 四、本课程与其它课程的联系 先修课程:高等数学、电路基础(1)

模拟电子技术基础课程教案(1) 授课题目(教学章、节或主题):第一章半导体器件课时安排2学时授课时间第1周 教学目的和要求(分掌握、熟悉、了解三个层次): 1.掌握:模拟信号与数字信号的概念和二者的区别; 2.熟悉:本征半导体;杂质半导体;PN结;常用半导体器件; 3.了解:半导体基础知识以及初步认识常用半导体器件。 教学内容(包括基本内容、重点、难点): 1.基本内容:模拟信号与数字信号的概念;本征半导体;杂质半导体;PN结;初步认识常用半导体器件; 2.重点:模拟电子电路与数字电路的概念; 3.难点:对本征半导体、杂质半导体、PN结的理解。 讲课进程和时间分配: (1)课程介绍、导入模拟量与数字量的概念、半导体的概念;(20分钟) (2)本征半导体及其导电性能、杂质半导体及其导电性能;(30分钟) (3)PN结的形成及特性;(35分钟) (4)本章小结。(5分钟) 讨论、思考题、作业: 见课后习题 参考资料(含参考书、文献等): 李承,徐安静.模拟电子技术[M].北京:清华大学出版社.2014年12月 授课类型(请打√):理论课 讨论课□ 实验课□ 练习课□ 其他□ 教学方式(请打√):传统讲授 双语□ 讨论□ 示教□ 指导□ 其他□ 教学资源(请打√):多媒体 模型□ 实物□ 挂图□ 音像□ 其他□ 填表说明:每项页面大小可自行添减。

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

《模拟电子技术实验》教学大纲

《模拟电子技术实验》教学大纲 课程中文名称(课程英文名称):模拟电子技术实验/Experiments of analog electron technology 一、课程编码:1021004006 二、课程目标和基本要求: 1、模拟电子技术实验是《模拟电子技术基础》课程的主要实践环节,是深化理论知识,培养实验技能,提高学生运用理论分析、解决实际问题的能力的重要教学和学习过程。 2、通过实验使学生充分认识到电子技术研究和发展的重要位置,以及它在物理学科应用中的重要意义。通过实验引导、启发学生解放思想、更新观念、摆正理论与实践的关系。 三、课程总学时: 30 学时(严格按教学计划时数)[理论: 0 学时;实验: 30 学时] 四、课程总学分: 1 学分(严格按教学计划学分) 五、适用专业和年级:物理教育学;2006级。 六、实验项目汇总表: 八、大纲内容:

实验一常用电子仪器的使用 [实验目的和要求] 1、学习电子电路实验中常用的电子仪器的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 [实验内容] 1、示波器的检查与校准; 2、用示波器观察和测量交流电压及周期; 3、用示波器测量直流电压; 4、用示波器测量相位; 5、毫伏表与数字万用表交流电压测量的比较。 [主要实验仪器与器材] 1、SS-7802示波器一台; 2、EM1642信号发生器一台; 3、DF1701直流电源一台; 4、DF2170毫伏表一台; 5、UT56数字万用表一只。 实验二、晶体管元件的认识和测量 [实验目的和要求] 1、掌握用万用表鉴别晶体管的性能; 2、了解晶体管特性图示仪的简单原理及使用方法,用晶体管特性图示仪测量特性曲线和参数; 3、绘制小功率晶体管的特性曲线,并运用特性曲线求参数。 [实验内容] 1、用数字万用表鉴别晶体三极管的性能; 2、XJ4810晶体管特性图示仪测量晶体管的特性曲线和参数。 [主要实验仪器与器材] 1、XJ4810晶体管特性图示仪; 2、UT56数字万用表; 3、晶体三极管(3A X31、901 4、9015)、稳压管。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

2011.12.30(修改)电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 (修改于2011.12.30) 1

实验一直流网络定理 一、实验目的 1、加深对基尔霍夫和迭加原理的内容和适用范围的理解。 2、用实验方法验证戴维南定理的正确性。 3、学习线性含源一端口网络等效电路参数的测量方法。 4、验证功率输出最大条件。 二、实验属性(验证性) 三、实验仪器设备及器材 1、电工实验装置(DG011T、DY031T、DG053T) 2、电阻箱 四、实验要求 1. 所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2. 防止电源两端碰线短路。 3. 若用指针式电流表进行测量时,要识别电流插头所接电流表时的“ +、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4.用电流插头测量各支路电流时,应注意仪表的极性,及数据表格中“ +、-”号的记录。 五、实验原理 1、基尔霍夫定律是集总电路的基本定律。它包括电流定律和电压定律。 基尔霍夫电流定律:在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零。即 ∑I = 0 基尔霍夫电压定律:在集总电路中,任何时刻,沿任一回路内所有支路或元件电压的代数和恒等于零。即 ∑U = 0 2、迭加原理是线性电路的一个重要定理。 独立电源称为激励,由它引起的支路电压、电流称为响应,则迭加原理可简述为:在任意线性网络中,多个激励同时作用时,总的响应等于每个激励单独作用时引起的响应之和。 3、戴维南定理指出,任何一个线性含源一端口网络,对外部电路而言,总可以用一个理想电压源和电阻相串联的有源支路来代替,如图1-1所示,其理想电压源的电压等于原网络端口的开路电压U OC,其电阻等于原网络中所有独立电源为零值时的入端等效电阻R0。 图1-1 2

模拟电子技术课程教案

模拟电子技术课程教案 1. 本章基本要求:了解半导体基础知识;掌握二极管基础知识,掌握二极管应用;掌握双极型晶体管(BJT)工作原理,伏安特性曲线,BJT的各个参数;对比学习场效应管(FET)的原理和特性曲线. 2. 本章教学内容和学时: 1.1 半导体基础知识 2 1.2 半导体二极管 2 1.3 双极型三极管 2 1.4 场效应三极管 2 3.本章教学方式:课堂讲授,多媒体与板书相结合的方式 4.本章重点: PN结内部载流子的运动,PN结的特性,二极管的单向导电性,三极管的电流放大作用,场效应管的压控特性,以及三种器件的等效电路. 5. 本章难点:PN结的形成原理,器件的非线性伏安特性方程和曲线,场效应管的工作原理. 6.本章习题: 7,课时与内容安排:(8学时) 1-2节:介绍本课程目的,教学参考书,本课程的特点以及在学习中应该注意的事项和学习方法; 半导体基础知识,半导体,杂质半导体;PN结的形成过程.PN结的特点,几个特性.特别强调PN结的单向导电性,伏安特性方程的应用. 3-4节: 半导体二极管结构,基本特点,等效电路;稳压二极管工作原理,特点,电路分析. 5-6节:BJT结构,类型,电路符号,电流放大作用,放大模式下载流子运动过程,电流分配关系;BJT共射特性曲线(输入,输出);介绍BJT的极限参数. 7-8节:例题:器件选择,管脚判断;特别强调电流分配关系,特性曲线的应用.FET 分类介绍,以N沟道JFET为例介绍FET工作过程,JFET输出特性曲线,转移特性曲线,小结FET,BJT的特性差异,小结FET输出特性曲线,转移特性曲线.学习过程中强调FET,BJT的对比性学习. 第2章基本放大电路 1. 本章基本要求:正确理解放大器的一些基本概念,掌握BJT的简化模型及其模型参数的求解方法,掌握BJT的偏置电路,及工作点的估算方法;掌握BJT的三种基本组态放大器电路组成,指标,特点及分析方法;理解放大器的频率响应的概念和描述;熟悉放大器的低频,高频截止频率的估算;了解单管放大器的频率响应的分析,波特图的折线画法.掌握FET的偏置电路,工作点估算方法;了解FET的小信号跨导模型和FET的共源特点. 2. 本章教学内容和学时: 2.1 放大的概念和放大电路的主要性能指标 2 2.2 基本共射放大电路的工作原理 2 2.3 放大电路的基本分析方法 4 2.4 晶体管单管放大电路的三种基本接法 2 2.5 放大电路的频率特性 2 2.6 场效应管放大电路 2 3. 本章教学方式:课堂讲授,多媒体与板书相结合的方式 4. 本章重点:静态工作点及其稳定,微变等效电路分析法,共射,共集,共基三种

模拟电子技术实验指导

实验二常用电子仪器的使用 一、实验目的 (1)了解双踪示波器、低频信号发生器及晶体管毫伏表的原理框图和主要技术指标; (2)掌握用双踪示波器测量信号的幅度、频率; (3)掌握低频信号发生器、晶体管毫伏表的正确使用方法。 二、实验器材 双踪示波器DF4321型(或HH4310A型)低频信号发生器DF1641B型(或SG1631C型)晶体管毫伏表DF2175型 三、实验原理与参考电路 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有示 示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等。 低频信号发生器:为电路提供各种频率和幅度的输入信号。 直流稳压电源:为电路提供电源。 晶体管毫伏表:用于测量电路的输入、输出信号的有效值。 万用表:用于测量电路的静态工作点和直流信号的值。 四、实验内容及步骤 1.低频信号发生器与晶体管毫伏表的使用 (1)信号发生器输出频率的调节方法 按下“频率范围”波段开关,配合面板上的“频率调节”旋钮可使信号发生器输出频率在0.3Hz~3MHz的范围改变。 (2)信号发生器输出幅度的调节方法 仪器面板右下方的Q9是信号的输出端,调节“输出衰减”开关和“输出调节”电位器,便可在输出端得到所需的电压,其输出为0-20V P-P的范围。 (3)低频信号发生器与毫伏表的使用 将信号发生器频率调至lkHz,调节“输出调节”旋钮,使仪器输出电压为5V P-P左右的正弦波,分别置分贝衰减开关于0dB、—20dB、—40dB、—60dB挡,用毫伏表分别测出相应的电压值。注意测量时不要超过毫伏表的量程,并且尽可能地把档位调到与被测量值相接近,以减小测量误差。 2.示波器的使用 (1)使用前的检查与校准 先将示波器面板上各键置于如下位置:“工作方式”位于“交替”(如果只观察一个波形可置于CHl通道或CH2通道);“极性”选择位于“+”;“触发方式”位于“内触发”;“DC,GND,AC"开关位于“AC”;“高频,常态,自动”开关位于“自动”位置;“灵敏度V/div"开关于“0.2V/div"档,“扫速t/div"开关于“0.2ms/div"档,亮度、辉度、位移、电平开关置中间位置,开启电源后,

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

模拟电子技术实验 教案

模拟电子技术实验教案 ·平顶山学院教案 20XX ~~ 20XX 学年第 1 学期 承担系部电气信息工程学院课程名称模拟电子技术实验授课对象 11电气、电子、测控,10物理授课教师张晓朋职称讲师教材版本电工电子实验与计算机仿真教程参考书 20XX年 9 月 3 日 平顶山学院模拟电子技术实验教案 模拟电子技术基础实验 实验一常用电子仪器的使用练习 [实验目的] 1、了解示波器、低频信号发生器、视频毫伏表及直流稳压电源的工作原理。 2、掌握常用电子仪器的使用方法。[实验仪器] 1、函数信号发生器; 2、双踪示波器; 3、交流毫伏表; [实验原理] 多种实验仪器之间按如图1-1所示。交流毫伏表直流稳压电源+ -屏蔽线U cc函数信号发生器屏蔽线被测电路 uiu0示波器屏蔽线图1-1 1、函数信号发生器

函数信号发生器按需要输出正弦波、方波、脉冲波三种信号波形。输出电压最大可达10VP-P。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。 函数信号发生器作为信号源,它的输出端不允许短路。 2、示波器的使用 (1)用示波器测量正弦波的有效值 正弦波形在示波器屏幕上的显示方式如图1-2所示。如果荧光屏上信号波形的峰-峰值为Ddiv,Y轴灵敏度为/div,则所测电压的峰-峰值为: VP-P=/div×Ddiv 式中/div是示波器无衰减时Y轴的灵敏度,即每格20mV;D为被测信号在Y轴方向上峰-峰之间的距离,单位为格(div)。 (2)用示波器测量时间 时间测量时在X轴上读数,量程X轴的扫描速度开关“t/div”决定。 1 平顶山学院模拟电子技术实验教案 测量前对示波器进行扫描速度校准,测量时间过程中使该“微调”始终处于“校准”位置上。测量信号波形任意两点间的时间间隔。 B

模拟电子实验思考题及答案 学时

设备的使用 1、示波器的使用 0-20MHz范围内的信号都可测量。 三个校准旋钮顺时针拧到底; 五个按钮全要释放; 触发源要与输入通道一致;双通道输入时(DUAL),则触发源CH1和CH2都可; “LEVEL”旋钮的使用(波形水平移动,不稳定时); “垂直衰减旋钮”要合适,尤其是数值和波形的幅值相比小太多时,波形太大,出了屏幕,会看不到波形; Y轴校准方法; DC和AC档位的区别。 2、交流毫伏表的使用 测量10-2MHz正弦信号的有效值。频带比示波器小,比万用表大。 一定要选择合适的量程,否则误差大。比如:正弦信号Ui=1V,要选3V量程档,用30V的话,误差大! 数字万用表 万用表 3、数字 测直流电压、电流信号,电阻值。 测交流信号不如交流毫伏表精度高,模拟电子技术实验室的交流信号有效值都用交流毫伏表测量! 4、模拟万用表 在本实验室只用于单管放大时测静态工作点的电流I B和I C。 5、信号发生器 正弦信号输入是有效值,切记!要注意分清题目给的条件是指正弦信号的有效值(示例Ui =1V)和最大值(示例Ui m=1V)。 6、集成运算放大器的使用 +12V、地、-12V这三个电源必须接上,运放才能工作。同时注意要打开电源开关。

输入信号不是电源,切记! 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 开环过零检查运放的好坏。 比例运算电路要闭环调零减少误差。 实验板 7、单管放大电路 单管放大电路实验板 +12V和地要可靠连接; 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 线要连好,不要落了接某些线。

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

模拟电子技术基础教案

《模拟电子技术基础》教案 1、本课程教学目的: 本课程是电气信息类专业的主要技术基础课。其目的与任务是使学生掌握常用半导体器件和典型集成运放的特性与参数,掌握基本放大、负反馈放大、集成运放应用等低频电子线路的组成、工作原理、性能特点、基本分析方法和工程计算方法;使学生具有一定的实践技能和应用能力;培养学生分析问题和解决问题的能力,为后续课程和深入学习这方面的内容打好基础。 2、本课程教学要求: 1.掌握半导体器件的工作原理、外部特性、主要参数、等效电路、分析方法及应用原理。 2.掌握共射、共集、共基、差分、电流源、互补输出级六种基本电路的组成、工作原理、特点及分析,熟悉改进放大电路,理解多级放大电路的耦合方式及分析方法,理解场效应管放大电路的工作原理及分析方法,理解放大电路的频率特性概念及分析。 3.掌握反馈的基本概念和反馈类型的判断方法,理解负反馈对放大电路性能的影响,熟练掌握深度负反馈条件下闭环增益的近似估算,了解负反馈放大电路产生自激振荡的条件及其消除原则。 4.了解集成运算放大器的组成和典型电路,理解理想运放的概念,熟练掌握集成运放的线性和非线性应用原理及典型电路;掌握一般直流电源的组成,理解整流、滤波、稳压的工作原理,了解电路主要指标的估算。

3、使用的教材: 杨栓科编,《模拟电子技术基础》,高教出版社 主要参考书目: 康华光编,《电子技术基础》(模拟部分)第四版,高教出版社 童诗白编,《模拟电子技术基础》,高等教育出版社, 张凤言编,《电子电路基础》第二版,高教出版社, 谢嘉奎编,《电子线路》(线性部分)第四版,高教出版社, 陈大钦编,《模拟电子技术基础问答、例题、试题》,华中理工大学出版社,唐竞新编,《模拟电子技术基础解题指南》,清华大学出版社, 孙肖子编,《电子线路辅导》,西安电子科技大学出版社, 谢自美编,《电子线路设计、实验、测试》(二),华中理工大学出版社, 绪论 本章的教学目标和要求: 要求学生了解放大电路的基本知识;要求了解放大电路的分类及主要性能指标。 本章总体教学内容和学时安排:(采用多媒体教学) §1-1 电子系统与信号0.5 §1-2 放大电路的基本知识0.5

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

参考答案--模拟电子技术实验指导书(2012)

参考答案--模拟电子技术实验指导书(2012)

实验一常用电子仪器的使用 一、实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1—1 模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

模拟电子技术实验指导书(12-13-1)

实验一 常用电子仪器的使用 一、 实验目的 1.熟悉示波器,低频信号发生器和频率计等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1—1 模拟电子电路中常用电子仪器布局图 1. 低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V (峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值U m 、周期T (或频率f )和初相;脉冲信号的波形参数是幅值U m 、周期T 和脉宽T P 。幅值U m 、峰峰值U P-P 和有效值都可表示正弦量的大小,但用示波器测U P-P 较方便(用万用表交流电压档测得的是正弦量的有效值U= 2 m U )。由于频率f=T 1 , 所以测出周期T ,即可算得频率。矩形脉冲电压,可用周期T ,脉宽T P 和幅值U m 三个参数来描述。T P 与T 之比称为占空比。 三、 实验内容和步骤 1.检查示波器 1) 扫描基线调节 接通交流电源(220V ),开启示波器电源,输入耦合方式开关拨到接地端(GND 端),进行光迹调节,协调地调节示波器面板上的“辉度”、“聚焦”、“X 轴位移”、“Y 轴位移”等旋钮,使屏幕的中心部分显示一

相关主题