搜档网
当前位置:搜档网 › multisim数字电路仿真实验(计数器)

multisim数字电路仿真实验(计数器)

multisim数字电路仿真实验(计数器)
multisim数字电路仿真实验(计数器)

Multisim 数字电路仿真实验

1.实验目的

用Multisim 的仿真软件对数字电路进行仿真研究。

2.实验内容

实验交通灯报警电路仿真交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯同时指示,而其他情况下均属于故障状态。出故障时报警灯亮。

设字母R、Y、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮,低电平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表所示。

逻辑表达式为:Z = R Y G + RG + RY

若用与非门实现,则表达式可化为:Z = R Y G ?RG ?RY

Multisim 仿真设计图如图所示:

图的电路图中分别用开关A、B、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1 的亮暗模拟报警灯的亮暗。另外用了一个5V直流电源、一个7400 四2 输入与非门、一个7404 六反相器、一个7420 双4 输入与非门、一个500欧姆电阻。

图交通灯报警电路原理图

在仿真实验中可以看出,当开关A、B、C 中只有一个拨向高电平,以及B、C 同时拨向高电平而A 拨向低电平时报警灯不亮,其余情况下报警灯均亮。

实验数字频率计电路仿真

数字频率计电路的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2 位数码管,则测量的最大频率是99Hz。

数字频率计电路Multisim 仿真设计图如图所示。其电路结构是:

用二片74LS90(U1 和U2)组成BCD 码100 进制计数器,二个数码管U3 和U4 分别显示十位数和个位数。四D 触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0 信号。信号发生器XFG1 产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2 产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。三输入与非门7410(U6A)为控制闸门。

运行后该频率计进行如下自动循环测量:

计数 1 秒→显示3 秒→清零1 秒→……

改变被测脉冲频率,重新运行。

图数字频率计电路

实验电子表电路仿真

电子表电路的框图如图所示,其工作要求如下:时钟输入为秒脉冲。秒计数器为60 进制,BCD 码输出。秒计数器的进位脉冲送给分计数器,分计数器也是60 进制,BCD 码输出。分计数器的进位脉冲送给小时计数器,小时计数器是24 进制,BCD 码输出。各计数器的输出送显示译码器,显示译码器的输出送七段数码管。设一个开关,开关合向高电平(+5V 电源),计时开始;开关合向地,各计数器清除。

电子表电路Multisim 仿真设计图如图所示。其电路结构是:计数器芯片采用74290N,其中U、U 组成秒计数器,U、U组成分计数器,U、U组成小时计数器。显示译码器采用7448N。开关J控制计数和清除。其他门电路实现进位或清除逻辑功能。

图秒脉冲

3.选做实验

(1)修改图电路,实现时、分、秒的对表逻辑。

(2)修改图电路,用同步计数器74160 或74162 计数器芯片代替异步计数器74290。(3)实现电子跑表的设计:精度秒,最大计时59 分秒。

(4)自拟一个电路进行仿真实验。

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字时钟的multisim设计与仿真.doc

电子电路Multisim设计和仿真 学院: 专业和班级: 姓名: 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2. 要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1. 设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 2. 电路框图 图1. 数字钟电路框图 三、子模块具体设计 1. 由555定时器构成的1Hz秒时钟信号发生器。 由下面的电路图产生1Hz的脉冲信号作为总电路的初输入时钟脉冲。

2. 分、秒计时电路及显示部分 在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D 的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR 端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。 显示部分用的是七段数码管和两片译码器74LS48D 。 3. 时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法,u1输出端为0011(十进制为3)与u2输出端0010(十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。 图2. 时钟信号发生电路 图3. 分秒计时电路

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.sodocs.net/doc/034068505.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.sodocs.net/doc/034068505.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

数字电路实验Multisim仿真完整版

数字电路实验 M u l t i s i m仿真 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

实验一逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 门) 三、 与或 非门 逻辑 功能 的测试 四、 现 路; 一、分析半加器的逻辑功能 二.

74LS138接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A 、B 、C 三位代码输入的密码锁(假设密码是011),当输入密码 正确时,锁被打开(Y 1=1),如果密码不符,电路发出报警信号(Y 2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三 触发器及触发器之间的转换 1. D 触发器逻辑功能的测试(上升沿) 2. JK 触 发器功能测试 (下降沿) Q=0 Q=0略 3. 思考题:

(1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数) 若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五 555定时器及其应用 1.施密特触发器 输入电压从零开始增加: 输入电压从5V开始减小:

Multisim数字电路仿真实验报告

基于Multisim数字电路仿真实验 一、实验目的 1.掌握虚拟仪器库中关于测试数字电路仪器的使用方法,入网数字信号发生器和逻辑分析仪的使用。 2.进一步了解Multisim仿真软件基本操作和分析方法。 二、实验内容 用数字信号发生器和逻辑分析仪测试74LS138译码器逻辑功能。 三、实验原理 实验原理图如图所示: 四、实验步骤 1.在Multisim软件中选择逻辑分析仪,字发生器和74LS138译码器; 2.数字信号发生器接138译码器地址端,逻辑分析仪接138译码器输出端。并按规定连好译码器的其他端口。 3.点击字发生器,控制方式为循环,设置为加计数,频率设为1KHz,并设置显

示为二进制;点击逻辑分析仪设置频率为1KHz。相关设置如下图 五、实验数据及结果 逻辑分析仪显示图下图

实验结果分析:由逻辑分析仪可以看到在同一个时序74LS138译码器的八个输出端口只有一个输出为低电平,其余为高电平.结合字发生器的输入,可知.在译码器的G1=1,G2A=0,G2B=0的情况下,输出与输入的关系如下表所示

当G1=1,G2A=0,G2B=0中任何一个输入不满足时,八个输出都为1 六、实验总结 通过本次实验,对Multisim的基本操作方法有了一个简单的了解。同时分析了38译码器的功能,结果与我们在数字电路中学到的结论完全一致。 实验二基于Multisim的仪器放大器设计 一、实验目的 1.掌握仪器放大器的实际方法; 2.理解仪器放大器对共模信号的抑制能力; 3.熟悉仪器放大器的调试方法; 4.掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器、毫伏表、信号发生器等虚拟仪器的使用方法。

数字时钟的Multisim设计与仿真

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:10 16 09

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 ? 基础调研 ? 应用设计、逻辑设计、电路设计 ? 用Multisim 软件验证电路设计 ? 分析电路功能是否符合预期,进行必要的调试修改 ? 撰写Project 报告,提交Multisim 二、总体设计和电路框图 图1. 数字钟电路框图 三、具备功能 ?24小时计时; ?动态显示时、分、秒各位; ?快速校时、校分; ?整点报时; ?按照设定时间启动闹钟; ?为闹钟设置彩铃; ??扩展功能:显示星期; 四、整体电路原理图 整体电路共分为五大模块:脉冲产生部分、计数部分、闹钟部分、显示部分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED七段显示数码管、时间校准电路,闹钟电路构成。

五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工

整点报时设计体会 刘君宇10(分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

Multisim 数字电路仿真实验(计数器)

Multisim 数字电路仿真实验 1.实验目的 用Multisim 的仿真软件对数字电路进行仿真研究。 2.实验内容 实验19.1 交通灯报警电路仿真 交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下列情 况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯同时指示, 而其他情况下均属于故障状态。出故障时报警灯亮。 设字母R、Y、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮,低电 平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表19.1 所示。 逻辑表达式为:Z = R Y G + RG + RY 若用与非门实现,则表达式可化为:Z = R Y G ?RG ?RY Multisim 仿真设计图如图19.1 所示: 图19.1 的电路图中分别用开关A、B、C 模拟控制红、黄、绿灯的亮暗, 开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1 的亮 暗模拟报警灯的亮暗。另外用了一个5V直流电源、一个7400 四2 输入与非门、 一个7404 六反相器、一个7420 双4 输入与非门、一个500欧姆电阻。 图19.1 交通灯报警电路原理图 在仿真实验中可以看出,当开关A、B、C 中只有一个拨向高电平,以及B、C 同时拨向高电平而A 拨向低电平时报警灯不亮,其余情况下报警灯均亮。 实验19.2 数字频率计电路仿真 数字频率计电路的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2 位数码管,则测量的最大频率是99Hz。 数字频率计电路Multisim 仿真设计图如图19.2 所示。其电路结构是: 用二片74LS90(U1 和U2)组成BCD 码100 进制计数器,二个数码管U3 和U4 分别显示十位数和个位数。四D 触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数

Multisim数字电路仿真快速上手教程

Multisim 快速上手教程每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!!以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为 KVL+KC)L 来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft 来做数字电路,都到了做出 8bitCPU 的水平(、l )。这个很神奇。 以下进入正文 首先,下载Multisim 安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12 是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12 了。 然后运行,在Circuit Design Suite12.0 里,有一个multisim ,单击运行。进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。现在来以一个简单的数字逻辑电路为例:菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。来个7400 吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD-INPUT NAND 即是“四个双输入与非门”的意思。 点击确认,放置元件。 A B C、D在这里指一块7400里的四个双输入与非门,点击即可放置。看起来很和谐,那就做个RS 触发器吧。 这里输出用的是一种虚拟器件PROB,在Indicators 组,图标就是个数码管的那个。功能相当于实验箱上那些LED也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。接下来解决输入,同样仿照实验箱上方式解决——使用单刀双掷开关(英文简称SPDT) 这里介绍的技巧就是,在上述的界面里,把组选到所有组、系列选到所有系列,在元器件里输入“ SPDT,可以使用“ *”做通配符代替元件代号不清楚的地方。 同样的方法加入VCCDGND应该要与GROUN模拟地区分开),说道这里需要注意,Multisim 里的仿真,电路必须有接地,没有接地将无法启动仿真。出现这个窗口一定选确认。然后就是连线了。点击元件的端点就可以引出导线,到另一个元件端点即可结束。选中开关,双击之,出现下面窗口:把空格改为“ R”另一个开关用同样的方法改成“ S”。 一个RS 触发器就弄好了,然后就可以开始仿真了。点击菜单栏上一个绿色的类似于播放键的三角形图标,开始仿真。 R=0, S=0, Q和Q都输出为1. 然后可以按下键盘上的R和S键,切换开关,观察其他状态。如同时按下RS切换至1,将 观察到不稳定输出,两灯闪烁。(截图是一个时刻,无法展现闪烁的动态效果) 然后就没有了。_________________________________________________________________________ 再来说说有的元件出来是芯片: 你会发现没有VCCGND这些管脚,因为它们都被隐藏了。只要你在电路中添加了VCCDGND 软件就会将这些隐藏管脚与之相连。 来做个显示译码器+数码管吧! 把元件添加好:7448N+七位共阴极数码管

multisim 数字电路仿真实验电子表电路仿真

Multisim 数字电路仿真实验 电子表电路仿真 汽车工程系汽13班张昊 010975 实验目的 用Multisim的仿真软件,对数字电路进行仿真研究 实验内容 电子表电路的框图如图19.3 所示,其工作要求如下:时钟输入为秒脉冲。秒计数器为60 进制,BCD 码输出。秒计数器的进位脉冲送给分计数器,分计数器也是60 进制,BCD 码输出。分计数器的进位脉冲送给小时计数器,小时计数器是24 进制,BCD 码输出。各计数器的输出送显示译码器,显示译码器的输出送七段数码管。设一个开关,开关合向高电平(+5V 电源),计时开始;开关合向地,各计数器清除。 电子表电路Multisim 仿真设计图如图19.4 所示。其电路结构是:计数器芯片采用74290N,其中U1、U2 组成秒计数器,U3、U4组成分计数器,U5、U6 组成小时计数器。显示译码器采用7448N。开关J1控制计数和清除。其他门电路实现进位或清除逻辑功能。

3.选做实验 (1)修改图19.4 电路,实现时、分、秒的对表逻辑。 (2)自拟一个电路进行仿真实验。 电路分析 本实验中最重要的部分是由两片74LS90组成100以内任意进制计数器的原理。原实验电路图分为两部分,一是计数器部分,二是译码显示部分。 计数器部分由六个74LS90芯片组成的两个60进制计数器和一个24进制计数器级连而成,由秒脉冲使其实现对时,分,秒的计时功能。其中通过逻辑电路保证分钟计数器的输入信号为秒计数器的进位脉冲,时计数器的输入脉冲为分计数器的进位脉冲。 另外,还具有同时手动清零的功能。 译码显示部分由译码器7448N和七段数码显示管组成,实现将计数器的值用数码显示的功能。 对原电路的改进 由上述对原电路各部分功能的分析,为方便实验,在不影响其功能的前提下,我认为有几个地方可以作如下修改。 首先,可以选用四输入的带有译码电路的数码管代替原有译码显示部分,这样可以使得电路更加简洁,便于分析。 第二,原电路的进位逻辑(以秒计数器向分计数器进位为例)为当秒计数器的两个74LS90芯片分别显示6和0时将两者的输出信号作与运算后进位,这样做是保证在秒计数

使用NI-LabVIEW和NI-Multisim实现数字电路和模拟电路的联合仿真

使用NI LabVIEW和NI Multisim实现数字电路和模拟电路的联合仿真 概览 以下文档介绍了如何在NILabVIEW和Multisim软件之间实现模拟和数字数据的联合仿真。学习如何使用LabVIEW来改变Multisim软件中的一个串联RLC电路中直流电源的电压输出值,然后将仿真后的电路输出电压回传给LabV IEW,并在LabVIEW显示图形中进行显示。 目录 1.简介 2.软件需求 3.在Multisim中创建一个模拟电路 4.在LabVIEW中创建一个数字控制器 5.在LabVIEW 和Multisim之间实现联合仿真 6.结论 7.相关链接 简介 在设计和分析一些完整系统(例如电力和机械行业的一些工程应用)的时候,您需要有效地在模拟部分和数字部分之间进行设计。传统的平台不能准确地将模拟和数字部分进行综合仿真,所以设计错误会影响到物理原型,进而造成低效率而且冗长的设计过程。 现在,使用具有全新联合仿真能力的Multisim和LabVIEW,您可以为整个模拟及数字系统设计出精确的,闭环逐点仿真。 软件需求 在开始LabVIEW和Multisim的联合仿真之前,你必须按照顺序安装下面的软件。 1. 安装LabVIEW 2011完整版/专业版或更新的版本

2.安装LabVIEW控制设计与仿真模块2011或更新版本 3.安装Multisim 12.0或更新版本。在安装Multisim的过程中选择安装N ILabVIEW-Multisim Co-Simulation 插件。

4.现在,你已经成功安装了LabVIEW与Multisim联合仿真所需的开发环境。 在Multisim中创建一个模拟电路 1. 放置一个压控电压源,这样在仿真的过程中就可以使用LabVIEW来调整直 流电压输出值。右键单击,从弹出的快捷菜单中选择放置元件。选择以下参数: 数据库:Master Database?元件组: Sources?类别: Controlled_Voltage_Sources?元件:Voltage_Controlled_Voltage_Source 点击确认来将元件放置到电路原理图上。双击该元件可以改变控制电压与输出电压的比率。如果设置比率为1 V/V,那么当LabVIEW改变1V的时候,Mul tisim中的压控电压源也会改变1V。

相关主题