搜档网
当前位置:搜档网 › 2013期末复习1

2013期末复习1

1. 集合{}3,2全部子集为( )

(A ){}2,{}3 (B ){}3,2 (C ){}2,{}3,{}3,2 (D ){}3,2,{}2,{}3,φ 2.设全集U={}7654321,,,,,,,集合A={}7531,,,,集合B={}53,,则A (C U B)是( ) (A )U (B ){2,3,4,5,6} (C ){1,7} (D ){1,3,5,7} 1.设集合M=}{3

1≤≤

x x ,集合N=}{4

2≤≤

x x ,则M N ( )

(A )

}{4

1≤≤

x x (B )}{3

2≤≤x x (C )}{2

1≤≤x x (D )}{3

2≤≤

x x

2. 设集合 ={1,2,3,4,5,6,7}集合M={2,3,4}集合N={2,5}, 则集合)()(N C M C U U =( )

(A ){1,3,4,5,6,7} (B ){1,6,7} (C ){1,2,5,6,7} (D ){2,3,4,5} 2、设集合A={}043|2=--x x x ,下列关系正确的是( ) (A )5?

(B )5A ? (C )5A ∈ (D )5A ?

1.已知集合}2,1{=A 的所有真子集是( )

(A )}1{ (B )}2{ (C )}2{},1{,φ (D )}2{},1{ 1.设集合A={}2≥x x |,集合B={}2

2. 设全集U=R,集合A={}90|<≤x x x 或 (C ){}0|≤x x (D ){}3|>x x 1、方程组??

?==+6

5xy y x 的解集( )

(A ))}3,2{( (B ))}2,3{( (C ))}3.2(),2,3{( (D )}2,3{ 2.由平面直角坐标系中x 轴上的所有点所组成的集合是( )

(A ))},{(y x (B ))}0,{(x (C ))},0{(y (D )}0),({=xy y x 2. 已知集合}2,3{=A 的所有子集是( )

(A ){}3},2{ (B ){}{}3,2,3},2{ (C )}2{},3{,φ (D ){}3,2},2{},3{,φ

11.设全集}6,5,4,3,2,1{=U ,集合}4,2{},5,1{==B A ,则=B C A C U U ______________ 11. 设方程01=-x 的解集为A ,方程01=+x 的解集为B ,则用A 、B 表示的方程

012

=-x 的解集为

.

11. 已知集合}0132{},056{22=+-==+-=x x x B x x x A ,则=B A 11. 由平面直角坐标系中坐标轴上的所有点所组成的集合是______________ 11.设全集U=R ,集合A={}

42x x |,则 (C U A) B 是 11.设全集U=R ,集合A={}4|2>x x ,集合B={}1|>x x ,则 A (C U B)是 12.

不等式组??

?≥-≤-0

b x a x 的解集为空集,则b a ,的关系____________

12. 不等式652+-x x ≤0的解集是 . 12.不等式0122>-+x x 的解集是 .

16.方程04)1(2=+--x m x 无实数解,则实数m 的取值范围是___________________. 1. 下列不等式组中解集为空集的是( )

(A )?

??≥≥05

x x

(B )?

??><-109

)4(3x x

(C )?

??>->-0250

3x x

(D )??

?<+>-0

20

1x x

3. 一元二次不等式03522<+-x x 的解集为( ) (A )(?∞,1) (B )(1,

2

3) (C )(

2

3,+∞) (D )R

4、函数m mx x x f ++=2

)(的定义域是R ,则m 的取值范围( )

(A )40<

(=( )

(A )在(?∞,+∞)内是减函数 (B )在(?∞,+∞)内是增函数 (C )在(?∞,0)内是减函数,在[0,+∞)内是增函数 (D )在(?∞,0)内是增函数,在[0,+∞)内是减函数

4. 已知一次函数2)(+=kx x f 满足89)]([+=x x f f ,则k 的值为( ) (A )?3 (B )5 (C )?5 (D )3 6. 设函数)6,(,48a x x x y ∈+=为偶函数,则a 的取值情况是( ) (A )0=a (B )6-a (D )6-=a 4.函数x

x f 241)(-=

的定义域为( )

(A ){x x < 2} (B ){x x >2} (C ){x x ≤< 2} (D ){x x ≥< 2} 4.函数x x y lg 1

2-=

的定义域是( )

(A )}1{≥x x (B )}1{>x x (C )}10{>x x (D )}10{≥x x 12已知)(x f =4)1()1(22+-++x m x m 为偶函数,则顶点坐标为__________________. 13. 若奇函数)(x f 的定义域是R ,且1)1(=f 则=-)1(f . 15. 635555???= .

12.若函数1223-=-a x y 是一次函数,则=a

14. 设8)(35-++=bx ax x x f ,且10)2(=-f ,则=)2(f . 12.指数函数x a y =中的a 的取值范围____________ 14.函数121-??

?

??=

x

y 的定义域是

14. 设函数2399lg )3(-=x x f ,则=)1(f _______________.

13. =+100lg 64log 4 13. 设实数2

3

3

)

1(,)2(ππ-=

-=

b a ,则=+b a .

21.一次函数()()10222--++=m m x m x f 的图像在y 轴上的截距为-2,求()x f 的解析式.

22.设定义在)1,1(-内的函数)(x f 为减函数,并且0)1()1(2

>---a f a f ,求实数a

的取值

范围

25.某工厂2005年生产总值为1.5亿元,其生产总值的年平均增长率为x ,设该厂2010年生产总值为y 亿元. (1)写出y 与x 之间的函数关系式; (2)当3=y 时,求x .

25.某商品的单价为5元/kg ,每天可售出50kg ,若将该商品的单价提高10x%,则每天的销售量减少5x%。

1)求提价后每天的销售金额y 与x 的函数关系;

2)取x 何值时销售金额y 最大? 5

25. 某工厂需要围建一个矩形堆料场,一边可以利用原有的墙壁,其他三边需要建新的墙壁,现有材料只能建墙壁120米,问矩形堆料场的长和宽各为多少米时面积最大?

25.已知矩形一条对角线长为2R,设该矩形的一条边长为x ,面积为S.

(1)写出S 与x 的函数关系; (2)x 取何值时,S 的值最大.

5.已知圆的半径为1,圆心角的度数为?75,则此圆心角所对的弧长为( ) (A )75 (B )4

3 (C )

6

π

(D )

π12

5

5.化简=-4sin 12( )

(A )4cos - (B )4cos (C )2sin 2 (D )2cos 2 6. 若角)2

,0(πα∈,且cos 5

3=

α,则sin )4

α+

=( ) (A )

10

27 (B )-

10

27 (C )

10

2 (D )-

10

2

7、已知角α的终边过点P(3,4),则=++αααtan cos sin ( ) (A )

20

41 (B )

20

43 (C )

15

41 (D )

15

43

6.若,2tan =α则α

αα

αcos sin cos sin 2-+= ( )

(A )5

1- (B )5

1 (C )5- (D )5

6.角6

17π-

的终边在( )

(A )第一象限 (B )第二象限 (C )第三象限 (D )第四象限 7.=?15sin ( ) (A )

4

2

6+ (B )4

2

6+-

(C )

4

2

6- (D )4

2

6--

16.若0>ααcos sin ,则α是第象 限角

13.若m 45sin -=α,则m 的取值范围是___________________. 13.已知),2

(

,135sin ππ

αα∈=,则αtan =________________.

16.若

4sin cos cos sin =+

α

αα

α 则sin α2=_______________

14. =+-+πππtan )cos(sin

16. =

-)8

sin

8

(cos

8

cos

8

sin

42

2

π

π

π

π

.

21.设α是第四象限的角,化简αα

tan 2cos 12

-.

22.求证: θ

θθ

θθcos si n cos si n 2si n 1+=++.

22.化简)6

5sin(

)6

5sin(

θπθπ++-

22.设5

1cos sin =+αα,计算α

αtan 1tan +

的值

9. 设c b a ,,均为正数且互不相同,若c b a lg ,lg ,lg 成等差数列,则之间的关系是( )

(A )c a b +=2 (B )

c

a b 112+= (C )

c

a

b

111+

=

(D )ac b =2

8.设数列}{n a 中,31=a ,并且2331=-+n n a a ,则100a =( ) (A )69 (B )70 (C )80 (D )81 7.若42

2342,,

--a a 成等差数列,则a 等于( )

(A )1或2 (B )-1或-2 (C )1或-2 (D )-1或2 7.数列 ,5

1

,41,31,21,1--的通项公式=( )

(A )

n

1 (B ) n

1-

(C )n

n 1

)1(+- (D )

n

n

)1(-

17.设在等比数列{}n a 中,9,184==a a 则12a = 18. 等差数列1,2,4,… 的前10项的和 种.

18. 设在等差数列{}n a 中,若25076543=++++a a a a a ,则=+82a a ___________ 19.在等比数列}{n a 中,已知24365432=a a a a a ,则=4a _______________.

15. 设实数}{n a 是首项为27、公差为整数的等差数列,并且前7项为正,从第8项

开始为负,则此数列的公差=d . 15. 在等差数列{}n a 中,公差d=3,前4项和

=22,则

=

23. 已知a 、b 、c 等差数列,其和270,若a+10,b,c-10成等比数列,求a 、b 、c

21. 已知等差数列}{n a 中,33,39852741=++=++a a a a a a ,求963a a a ++的值.

24. 设数列}{n a 的前n 项和522++=n n s n (n=1,2,…),求101a a 和

24.在等差数列{}n a 中,已知41,a a 是方程016102=+-x x 的两个根,并且14a a >,

求该数列前8项的和8S 的值

24.三个数成等差数列,其和为9,依次加上1,1,3成等比数列,求这三个数

10.6名学生排成一排,其中甲和乙必须相邻,则不同的排法有( ) (A )60种 (B )120种 (C )180种 (D )240种 6.由数1,2,3,4组成没有重复数字的四位数,其中小于4000的奇数的个数是( ) (A )24 (B )18 (C )9 (D )8

10、从4种蔬菜品种中任意选取3种,分别种植在3块不同的土地上进行试验,则不同的种植方案有( )种

(A )24 (B )48 (C )64 (D )81

10. 从5本不同的科技书和7本不同的文艺书中任意取2本,其中至少有1本文艺书的不同选取方法有( )种

(A )66 (B )56 (C )35 (D )21

19.从1,2,3,4,5,6,7,8,9,10中任取两个不同的数其和是5的倍数的取法总数为

19. 从1,2,3,4,5,6,7,8,9,10中任取4个互不相同的数,使它们的和为奇数,共有 种不同取法.

18.设有6名学生,其中男生4名,女生2名.现将他们排成一排,并且2名女生分别站在两端,则不同排法的总数是__________________

19.设集合A 有10个元素,则A 的包含3个元素的不同子集共有_____________个

18.5

545352515

1C C C C C -+-+-=_________________. 20.5

3??? ?

?

-y x 的展开式中的第三项为

9. 若直线l 经过原点和点(?2,2),则l 的倾斜角是( ) (A )

4

3π (B )

4

7π (C )

2

π

(D )

4

π

9.若直线()()01511=-+++y c x c l :与直线()()01132=+-+-y c x c l :互相垂直,则c 等于( ) (A )1 (B )

2

1 (C )3

1 (D )

4

1

7. 过点P (3,-1)且垂直于直线0532=++y x 的直线方程是( )

(A )0723=-+y x (B )0723=++y x (C )01123=--y x (D )01123=+-y x 9.过点)5,1(-P ,且与直线0103=-+y x 平行的直线方程是( )

(A )023=+-y x (B )023=++y x (C )023=-+y x (D )023=--y x 8.斜率为3且在y 轴上的截距为2的直线方程为( )

(A )023=+-y x (B )023=+-y x (C )023=--y x (D )023=--y x 10. 设圆的方程为04322=+++y x y x ,则该圆的圆心坐标为( ) (A ))2,2

3

( (B ))2,2

3

(- (C ))2,2

3

(- (D ))2,2

3

(--

7.直线b x y +=过圆054222=--++y x y x 的圆心,则b 等于( ) (A )3 (B )1 (C )1- (D )9- 9. 直线0=+y x 和圆0422=-+x y x 的交点为( )

(A )(0,0),(?2,?2)(B )(0,0),(?2, 2)(C )(0,0),(2,2)(D )(0,0),(2,?2) 8. 若椭圆的方程

125

9

2

2

=+

y

x

,则椭圆的半长轴长( )

(A )25 (B )9 (C )5 (D )3 17.设直线1l 的倾斜角为?60,并且21l l ⊥,则直线2l 的斜率为________________. 17. 圆036422=-+-+y x y x 与x 轴的交点有 个. 18.圆096422=+--+y x y x 的半径是

17.圆的一条直径为点)1,1(-A 和)7,5(-B 的连线,则该圆的方程为______________ 17.当方程116252

2

=--

-k

y

k x

表示焦点在x 轴上的椭圆时,k 的取值范围是__________

18.椭圆

116

252

2

=+y

x

上任意一点到其两个焦点的距离之和为__________

24.顶点在原点,对称轴为坐标轴,并且过点)2,4(-的抛物线的方程. _____________ 23. 求与圆0104622=+--+y x y x 同圆心,且与y 轴相切的圆的方程

23.已知方程00916)41(2)3(24222==++-++-+m y m x m y x 表示一个圆,求实数m 的取值范围.

13. 求过点(0,1)且倾斜角的正弦值为5

52的直线方程

14. 求过点)3,2(P且在两坐标轴上截距互为相反数的直线方程

15. 求过点(3,-4)且在两坐标轴上截距相等的直线方程为

16. 求经过点C(2,-3)且平行于过两点M(1,2)和N(-1,-5)的直线的方程16. 求直线x-y-1 = 0被圆 x2 + y2 = 4所截得的弦长

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

2013年全国高考理综1卷试题及答案

2013年全国新课标1卷理综试题WORD版 1.关于蛋白质生物合成的叙述,正确的是( ) A.一种tRNA可以携带多种氨基酸 B.DNA聚合酶是在细胞核中合成的 C.反密码子是位于mRNA上相邻的三个碱基 D.线粒体中的DNA能控制某些蛋白质的合成 2.关于同一个体中细胞有丝分裂和减数第一次分裂的叙述,正确的是( ) A.两者前期染色体数目相同,染色体行为和DNA分子数目不同 B.两者中期染色体数目不同,染色体行为和DNA分子数目相同 C.两者后期染色体行为和数目不同,DNA分子数目相同 D.两者后期染色体行为和数目相同,DNA分子数目不同 3.关于植物细胞主动运输方式吸收所需矿质元素离子的叙述,正确的是( ) A.吸收不同矿质元素离子的速率都相同 B.低温不影响矿质元素离子的吸收速率 C.主动运输矿质元素离子的过程只发生在活细胞中 D.叶肉细胞不能以主动运输的方式吸收矿质元素离子 4.示意图 甲、乙、丙、 丁为某实验 动物感染 HIV后的情 况,下列叙 述错误的是 ( ) A.从图甲可以看出,HIV感染过程中存在逆转录现象 B.从图乙可以看出,HIV侵入后机体能产生体液免疫 C.从图丙可以推测,HIV可能对实验药物a敏感 D.从图丁可以看出,HIV对试验药物b 敏感 5.某农场面积为140hm2,农场丰富的植物资源为黑线姬鼠提供了很好的生存条件,鼠大量繁殖吸引鹰来捕食,某研究小组采用标志重捕法来研究黑线姬鼠的种群密度,第一次捕获100只,标记后全部放掉,第二次捕获280只,发现其中有2只带有标记,下列叙述错误 ..的是( )A.鹰的迁入率增加会影响黑线姬鼠的种群密度 B.该农场黑线姬鼠的种群密度约为100只/hm2 C.黑线姬鼠种群数量下降说明农场群落的丰富度下降 D.植物→鼠→鹰这条食物链,第三营养级含能量少 6.若用玉米为实验材料,验证孟德尔分离定律,下列因素对得出正确实验结论,影响最小的是( ) A.所选实验材料是否为纯合子 B.所选相对性状的显隐性是否易于区分 C.所选相对性状是否受一对等位基因控制 D.是否严格遵守实验操作流程和统计分析方法 7、化学无处不在,下列与化学有关的说法,不正确的是( ) A、侯氏制碱法的工艺过程中应用了物质溶解度的差异 B、可用蘸浓盐酸的棉棒检验输送氨气的管道是否漏气 C、碘是人体必须微量元素,所以要多吃富含高碘酸的食物 D、黑火药由硫磺、硝石、木炭三种物质 按一定比例混合制成 8、香叶醇是合成玫瑰香油的主要原料,其结构简式如下: 下列有关香叶醇的叙述正确的是( ) A、香叶醇的分子式为C10H18O B、不能使溴的四氯化碳溶液褪色 C、不能是酸性高锰酸钾溶液褪色 D、能发生加成反应不能发生取代反应 9、短周期元素W、X、Y、Z的原子序数依次增大,其简单离子都能破坏水的电离平衡的是( )A、W2-X+B、X+ Y3+ C、Y3+Z2-D、X+Z2-

完整word版,2013认心期末复习

认知心理学期末 1.比较反应选择模型于知觉选择模型的差异p143 答:第一,注意的知觉选择模型与注意的反应选择模型的根本不同在于两者都认为注意发生的位置不同。知觉选择模型认为注意发生在觉察阶段与识别阶段之间,反应选择模型则认为注意发生在识别阶段和复述阶段之间。 第二,两种对立理论的提出引起了很大的争论,一直延续至今,并促进了相关实验的发展。 第三,目前,较多的心理学家倾向于注意的知觉选择模型,他们认为反应选择模型太不经济了,因为高级分析过程必须对所有刺激信息进行加工,再作出反应,如果这样,大脑的负担显然太重。第四,已有实验似乎表明,注意的知觉选择模型能较好地说明集中性注意,而注意的反应模型更能说明分配性注意。 综合起来,注意的知觉选择模型与反应选择模型虽然有争论,但这两者还是有很大的共性,两种理论都强调在个体内部的信息加工过程中存在某种制约信息加工的信息选择机制。注意的知觉选择模型没能较好地解释为什么有些理论上应该未被注意的信息也能得到高级加工的现象。反应选择模型也不能有效地解释为什么事实上有些应该被注意到的信息却没能得到加工的现象。 2 两种编码说(Paivio,1975)的内容?P69 答:Pivio从信息编码的角度将长时3记忆分为两个系统:表象系统和言语系统。 (1)表象系统:以表象代码来贮存有关具体事件的信息。与情景记忆有某种类似,如头脑中关于故乡的景色。 (2)言语系统:以言语代码贮存言语信息。与语义记忆有某种类似。如头脑中记住的学科知识。Paivio的理论认为这两个系统既彼此独立又相互联系,因此人们把其理论成为两种编码说或双重编码说。现在一般认为,语义代码在长时记忆中的地位特别重要。甚至有人否定表象代码。 3 什么是固定网像及其实现的基本原理是什么?P31 一般来说,人注视一个客体,该客体的网像并非完全固定,它的位置会发生一些变化。但通过一种技术可使客体在眼动条件下,在视网膜上的像的位置不变,即得到客体的固定网像。 眼球有轻微的震动,称为生理震颤,它以30~70周/秒频率出现。若一个客体严格地投射到视网膜的同一部位,即排除眼睛的任何活动,那么对该客体的知觉就会消失,对这个客体将视而不见。但实验表明,并非立即全部性的消失,而是部分地逐渐消失。 4 什么是自我终止式扫描(Sternberg)?白88 将探测数字逐个与记忆中的项目进行比较,发现有与探测数字相同的就中断。 5 论述层次网络模型的内容?P71 答:Collins和Quilian(1969)的层次网络模型认为,长时记忆中语义记忆的基本单元是概念,概念在记忆系统中会联系的,形成一个有层次的结构。是长时记忆研究的第一个语义记忆模型。 语义记忆是由概念之间或概念与特征之间的联系而组成一个庞大的知识网络。又可称为概念-特征层次网络。可以示意图描述。连线带箭头表明,概念间的从属关系(知识或联系已贮存,可沿连线搜索,即依据知识进行搜索);一个结点就是一个概念。 在这个网络中存在:1)上、下位等级概念;2)特征(区别性和共同性)贮存在该类事物的层次上;3)层次性和逻辑性;4)模型的加工方式是搜索,因此,其具有推理能力。 实验检验方法:范畴大小验证,即,概念层次上相差越大,被试的反应时越长,反之亦然。 6 论述声象记忆与图象记忆的不同之处和共同之处? 共同点:都是感觉记忆,具有感觉记忆的特点:存储时间短,信息加工只是初步的(但可以进行信息整合),基本是按照刺激的物理特点进行编码,是外界刺激的真实复本。记忆容量非常大,但只有一部分信息会进入到高一级的短时记忆中。过程是无意识的自动化的。人无法控制。 不同之处:1.声象记忆持续的时间比图象记忆的持续时间长得多

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

北京邮电大学 数字逻辑期末模拟试题5

C 本科试题(五) 一、选择题(每小题2分,共20分) 1. A 3、A 2、A 1、A 0是四位二进制码,若电路采用奇校验,则校验位C 的逻辑表达式是___________。 A. B. C. D. 2. 要使3:8 线译码器(74LS138)能正常工作,使能控制端的 电平信号应是____________。 A. 001 B. 011 C. 100 D. 111 3. 最小项的逻辑相邻项是___________。 A. B. C. D. 4. 设,则它的非函数是___________。 A. B. C. D. 5. 下列各函数相等,其中无冒险现象的逻辑函数是___________。 A. B. C. D. 6. 为实现将D 触发器转换为T 触发器,图1所示电路的虚线框内应是_________。 a) 或非门 b) 与非门 c) 异或门 d) 同或门 7. 用计数器产生110010序列,至少需要________个触发器。 A. 2 B. 3 C. 4 D. 8 8. 从编程功能讲,E 2PROM 的与阵列________,或阵列________。 A. 固定,可编程 B. 可编程,固定 C. 可编程,可编程 D. 固定,固定 9. 在图 。 10123⊕⊕⊕⊕A A A A 0123A A A A ⊕⊕⊕00123⊕⊕⊕⊕A A A A 0123A A A A +++ B A G G G 221,,D C B A ABC D CD B A D C B A D C B A D C AB F +=D C B A F +?+=)()(D C B A F +?+=)()(D C B A F +?+=D C AB F +=CD C B AC F ++=D AC C B CD F ++=AB BD CD C B AC F ++++=BD D C B CD AC F +++=n n Q Q =+1图1 T A B D

数字逻辑2013期末复习

数字逻辑 2013期末复习一 一、单项选择题 1、n 个变量可构成( 3 )个最小项。 (1)、2n (2)2n-1 (3)n 2 (4)1 2 -n 2、集电极开路(OC )门电路如下所示,该电路实现的逻辑功能是( 1 )。 (1)DE ABC ? (2)ABCDE (3)DE ABC +(4)DE ABC ? 3、若两个逻辑函数表达式的对偶式F`和G`相等,则逻辑函数F 和G ( 1 )。 (1)相等 (2)不相等 (3)可能等也可能不等 (4)互补 4、为了实现将D 触发器转换为JK 触发器,D 应等于( 1 )。 (1)Q K Q J + (2)Q K JQ ?+ (3)Q K Q J + (4)Q K JQ ?+ 5、构成一个6进制加法计数器,至少需要( 2 )个触发器。 (1)2个 (2)3个 (3)4个 (4)5个 6、对同一逻辑门电路,分别使用正逻辑和负逻辑表示输入和输出之间的关系,其表达式( 2 )。 (1) 互为反函数 (2) 互为对偶式 (3)相等 (4) 答案都不对 7、F (A,B,C,D)=AB+CD,它包含的最小项个数是( 3 ) (1) 2个 (2) 4个 (3) 7个 (4) 8个 8、下列编码是有效余三码的是( 3 )。 (1) 1111 (2) 0000 (3) 1010 (4) 0010 9、F(A,B,C,D)=AB+CD ,变量A,B,C 哪个取值组合能使F=1。( 2 ) (1) 0000 (2) 0011 (3) 0101 (4) 1010 10、八进制数(175.236)8的十六制数是( 1 )。 (1) 16 (7.4)D F (2) 16 (7.4)D E (3) 16 (7.4)C F (4) 16 (7.3)D F 11、下列逻辑函数中,与(A+B )(A+C)等价的是( 3 )。 (1) F=AB (2)F=A+B(3) A+BC (4) F= B+C 12、函数F 的卡诺图如图1-1,其最简与或表达式是( 4 )。 (1)D B A D B A F +=D C A + (2)D B A D C A C B A F ++=

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

2013年安徽高考理综试题及答案免费(word版)

绝密★启用前 2013年普通高等学校招生全国统一考试(安徽卷) 理科综合能力测试 本试卷分为第I卷(选择题)和第II卷(非选择题),第I卷第1页至第5页,第II卷第6页至第12页。全卷满分300分。 考生注意事项: 1.答题前,务必在试题卷、答题卡规定的地方填写自己的姓名、座位号,并认真核对答题卡上所粘贴的条形码中的姓名、座位号与本人姓名、座位号是否一致。务必在答题卡背面规定的地方填写姓名和座位号后两位。 2.答第I卷时,每小题选出答案后,用2B铅笔把答题卡上所对应题目的答案标号涂黑。如需改动,用橡皮擦干净后,再选涂其他答案标号。 3.答第II卷时,必须使用0.5毫米的黑色墨水签字笔在答题卡上 ....书写,要求字体工整、笔迹清晰。作图题可先用铅笔在答题卡的规定的位置绘出,确认后再用0.5毫米的黑色墨水签字笔描清楚。必须在题 号所指示的答题区域作答,超出答题区域书写的答案无效,在试题卷、草稿纸上答题无效。 ............................4.考试结束后,务必将试题卷和答题卡一并上交。 第I卷(选择题共120分) 本卷共20小题,每小题6分,共120分。在每小题给出的四个选项中,只有一项是符合题目要求的。以下数据可供解题时参考 相对原子质量(原子量):H1 C12 N14 O16 Ai27 S32 Cl35.5 Ce140 Pb207 1.生物膜将真核细胞分隔成不同的区室,使得细胞内能够同时进行多种化学反应,而不会相互干扰。下列叙述正确的是 A.细胞核是mRNA合成和加工的场所 B.高尔基体是肽链合成和加工的场所 C.线粒体将葡萄糖氧化分解成CO2和H2O D.溶酶体合成和分泌多种酸性水解酶 2.细胞代谢受酶的调节和控制。下列叙述正确的是 A.激素都是通过影响细胞内酶活性来调节细胞代谢 B.代谢的终产物可反馈调节相关酶活性,进而调节代谢速度 C.同一个体各种体细胞酶的种类相同、数量不同,代谢不同 D.对于一个细胞来说,酶的总类和数量不会发生变化 3.右图为第10粒水稻种子在成熟过程中于物质和呼吸速率 变化的示意图。下列分析不正确 ...的是 A.种子干物质快速积累时期,呼吸作用旺盛 B.种子成熟后期自由水减少,呼吸速率下降 C.种子成熟后期脱落酸含量较高,呼吸速率下降 D.种子呼吸速率下降有利于干物质合成 4.下列现象中,与减数分裂同源染色体联会行为均有关的是 ①人类的47,XYY综合征个体的形成

2013期末复习题(微机接口技术周波)

第三部分综合练习题 一.填空题 1.查询输入/输出方式时,接口电路中除了有数据口外还需有状态口。 2.总线请求信号有效时,微机系统是工作在DMA 方式。 3. 执行OUT指令对接口输出数据时,8086的W R和M/IO引脚输出低电平。4.可屏蔽中断的优先级比非屏蔽中断的优先级低。 5.两片8259A接成级联缓冲方式可管理15 个可屏蔽中断。 6.INT21H的中断服务程序入口地址放在内存地址从84H 开始的单元中。 7.利用8条口线最多可以识别16 个按键。 8.微机中使用的键盘是编码键盘。 9.微机中自带的串行通信接口有 2 个。 10.当距离较远时,串口通信线路中需要一个MODEM 。 11.对查询输出方式,当外设的状态线EMPTY为高电平时,不能输出数据。 12.DMA工作方式时,总线上的各种信号是由DMA控制器发送的。 13. ISA总线宽度是16 位。 14.在中断优先级自动循环方式下,IR5中断结束后,IR6 自动变为最高级。 15.8086系统中优先级最低的是单步中断。 16.当8255A作输出接口使用时,它具有锁存功能。 17.若减计数器的计数初值为10H,则减计数器可作为10 分频计数器用。 18.数模转换器内部集成有一个供外接运算放大器使用的T型电阻。 19.共阴极数码管显示数字9的字形码是6FH 。 20.每秒串行传送数据的位数称为波特率。 21、MOV BX,1000H指令的寻址方式是立即数寻址。 22、MOV AX,disp[BX][DI]指令的寻址方式是相对基址变址。 23、CPU与外设进行数据传送的方式有程序控制的输入输出方式、程序中断的输入输出方式及直接存储器存取方式。 24、8086有两条中断请求线,即NMI 和INTR 。 25、CPU与外设间的接口信息包括地址信息、数据信息、 和状态信息。 26.CPU执行OUT DX,AX指令时,AL 的值输出到数据总线上。 27.PC/XT总线的地址信号和数据信号是分时复用的

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

2013年全国高考理综化学试题及答案(word版)

2013年全国高考理综化学试题及答案 可能用到的相对原子质量:H l C l2 N 14 O 16 Mg 24 S 32 K 39 Mn 55 一、选择题:本题共l3小题,每小题6分。在每小题给出的四个选项中,只有一项是符合题目要求的。 7.化学无处不在,下列与化学有关的说法不正确的是 A.侯氏制碱法的工艺过程中应用了物质溶解度的差异 B.可用蘸浓盐酸的棉棒检验输送氨气的管道是否漏气 C.碘是人体必需微量元素,所以要多吃富含高碘酸的食物 D.黑火药由硫黄、硝石、木炭三种物质按一定比例混合制成 8.香叶醇是合成玫瑰香油的主要原料,其结构简式如下:下列有关香叶醇的叙述正确的是 A.香叶醇的分子式为C10H18O B.不能使溴的四氯化碳溶液褪色 C.不能使酸性高锰酸钾溶液褪色 D.能发生加成反应不能发生取代反应 9.短周期元素W、X、Y、Z的原子序数依次增大,其简单离子都能破坏水的电离平衡的是A.w2-、X+B.X+、Y3+C.Y3+、Z2-D.X+、Z2- 10.银质器皿日久表面会逐渐变黑,这是生成了Ag2S的缘故。根据电化学原理可进行如下处理:在铝质容器中加入食盐溶液,再将变黑的银器浸入该溶液中.一段时间后发现黑色会褪去。下列说法正确的是 A.处理过程中银器一直保持恒重B.银器为正极,Ag2S被还原生成单质银 C.该过程中总反应为2Al+3Ag2S==6Ag+Al2S3D.黑色褪去的原因是黑色Ag2S转化为白色AgCl 11.已知Ksp(AgCl) = 1.56×10-10,Ksp(AgBr) = 7.7×10-13,Ksp(Ag2CrO4) = 9.0×10-12。某溶液中含有Cl-、Br-和CrO42-,浓度均为0.010 mol·L-1,向该溶液中逐滴加入0.010 mol·L-1的AgNO3溶液时,三种阴离子产生沉淀的先后顺序为 A.Cl-、Br-、CrO42-B.CrO42-、Br-、Cl-C.Br-、Cl-、CrO42-D.Br-、CrO42-、Cl-12.分子式为C5H10O2的有机物在酸性条件下可水解为酸和醇,若不考虑立体异构,这些醇和酸重新组合可形成的醇共有 A.15种B.28种C.32种D.40种 13.下列实验中,所采取的分离方法与对应原理都正确的是 26.(13分) 醇脱水是合成烯烃的常用方法,实验室合成己烯的反应和实验装置如下:

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

2013软件项目管理期末复习题及参考答案要点

软件项目管理期末复习题及参考答案 一、单项选择题 (1)赶工一个任务时,你应该关注( C ) A. 尽可能多的任务 B. 非关键任务 C. 加速执行关键路径上的任务 D. 通过成本最低化加速执行任务 (2)下列哪个不是项目管理计划的一部分?(C ) A. 里程碑图示 B. 进度 C. 数据库设计 D. 风险清单 (3)对一个任务进行进度估算时,A是乐观者,估计是6天完成,B是悲观者,估计是24天完成,C是有经验者认为最有可能是12天完成,那么这个任务的历时估算是介于10天到16天的概率是(B )E1=(O+4M+P)/6 δ1=(P-O)/6 A. 50% B. 68.3% C. 70% D. 99.7% (4)关于浮动,下面除了哪个之外都是不正确的?( D ) A. 每个任务都有浮动 B. 只有复杂的项目有浮动 C. 浮动是在不增加项目成本的条件下,一个活动可以延迟的时间量 D. 浮动是在不影响项目完成时间的前提下,一个活动可以延迟的时间量 (5)关于网络图,下面哪个是不正确的?(C ) A. 网络图可用于安排计划 B. 网络图展示任务之间的逻辑关系 C. 网络图可用于跟踪项目 D. 网络图可用于详细的时间管理 (6)需求分析是回答系统必须( A )的问题 A. 做什么 B. 怎么做 C. 何时做 D. 为谁做 (7)为了有效地管理项目,应该将工作分解为更小的部分,以下各项中,哪一项不能说明任务应该分解到什么程度?(A ) A. 可以在80小时内完成

B. 不能再进一步进行逻辑细分了 C. 可由一个人完成 D. 可以进行实际估算 (8)下面哪个不是需求管理的过程(A ) A. 需求设计 B. 需求获取 C. 需求分析 D. 需求变更 (9)( A )是用系统的功能数量来测量其规模,与实现产品所使用的语言和技术没有关系的。 A. 功能点 B. 对象点 C. 代码行 D. 用例点 (10)如果你是某项目的项目经理,你已经估算出每个单元的成本是¥129。这个项目一共有1200单元,你采用什么估算方法?( B ) A. 自下而上估算法 B. 类比估算法 C. 专家估算法 D. 参数估算法 (11)风险的三个属性是(C ) A. 风险发生的时间、地点、负责人 B. 风险事件、时间、影响 C. 风险事件、概率、影响 D. 风险数量、风险影响程度、概率 (12)“质量成本”是一个项目管理概念,它说明了下列哪项成本( A ) A. 额外需求的成本 B. 需求变更的成本 C. 确保符合需求的成本 D. 固定成本 (13)在风险分析过程中,确定已经识别的一个风险事件是无法避免的,也是不能减轻的,也不能投保,这是一个关键的风险事件,一旦发生可能造成项目的失败,项目经理最佳的选择是(C ) A. 降低风险的级别,项目团队将找到一个克服故障的方法 B. 特别关注,加强管理该风险事件和所有的相关事件 C. 让风险评估小组继续分析该风险事件,直到降低预期影响 D. 忽略风险评估,因为不管赋予什么值,都只是一个估算。

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题 1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。A.01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10相等的是( ) A.(01101000)2 B.(01001000)2 C.(01110010)2 D.(01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U OLmax=0.5V,最大输入低电平U ILmax=0.8V,最小输出高电平U OHmin=2.7V,最小输入高电平U IHmin=2.0V,则其高电平噪声容限U NH=( )

A .0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6.根据反演规则,的反函数 为( )。 A. B. C. D. 7、对于TTL 或非门多余输入端的处理,不可以( )。 A 、接电源 B 、通过0.5k Ω电阻接地 C 、接地 D 、与有用输入端并联 8.下列四种类型的逻辑门中,可以用( )实现三种 基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 9. 将D 触发器改造成T 触发器,图1所示电路中的虚 () ()E DE C C A F ++?+=E )]E D (C C [A F ?++=E )E D (C C A F ?++=E )E D C C A (F ? ++=E )(D A F ?++=E C C