搜档网
当前位置:搜档网 › STM8L超低功耗MCU精彩问答

STM8L超低功耗MCU精彩问答

STM8L超低功耗MCU精彩问答
STM8L超低功耗MCU精彩问答

主题:STM8L——引领8位MCU产品向超低功耗扩展---精彩问答

[1问:]STM8L总线数据传输速度可达多高?

[答:]CPU的时钟频率为16MHz[1900-1-1]

[2问]如何实现ARM内核的低功耗设计

[答:]STM8L不是ARM内核的[2009-11-1810:14:01]

[3问:]STM8L的产品的工作主频能有多大?可以在待机时改变频率以节省电能吗? [答:]最高16MHz,16MIPS,待机前可以降频[2009-11-1810:14:57]

[4问:]STM8加密除了在下载的时候禁止读写以外,还有什么好办法呢?

[答:]每个芯片有唯一的ID,可以在程序中做加密处理[2009-11-1810:15:34]

[5问:]STM8很多寄存器需要在某种状态下才允许修改的,能否详细说明一下?[答:]这个问题能够提的具体一点吗?[2009-11-1810:15:35]

[6问:]8位微控制器STM8L的外设接口是怎样设置的?

[答:]你指什么外设?[2009-11-1810:20:30]

[7问:]STM8L单片机和TI的msp430系列MCU有什么不同,与TI的功耗比相比有什么优势?谢谢

[答:]STM8L是8位机,因此比16位机便宜。STM8L可达16MIPS,与MSP430速度相当。

STM8L的许多工作模式,功耗比TI还低[2009-11-1810:22:51]

[8问:]STM8L是几级流水的?工作频率是多少?指令周期是多少?有多少单指令周期指令和双指令周期的指令?

[答:]3级16MHz Max指令集与STM8S相同STM8L的内核是CISC内核,指令周期从一个周期至最长10几个周期(除法指令)都有。[2009-11-1810:24:03]

[9问:]调试方式有几种?FLASH和RAM?可以选择吗?

[答:]可以选择Flash或RAM运行程序。[2009-11-1810:24:05]

[10问:]支持几种IDE?请简单介绍,谢谢

[答:]ST推荐STVD还有Raisonance的IDE也可以。[2009-11-1810:25:11]

[11问:]目前stm8的编译器不是很好用,我想问一下是否有让iar支持stm8的计划啊?我想要是有的话,stm8的推广会更顺利一些……

[答:]再耐心等待吧,计划中的[2009-11-1810:26:17]

[12问:]宣传资料上看到有关于stm芯片片内都有唯一的ID号,而且在加密时可以派上用场!请教这序列号在什么地方?我应该怎么读取?有相关例程么?

[答:]请下载最新版本的参考手册和数据手册,上面有说明[2009-11-1810:26:50]

[13问:]如何设置代码在flash运行还是在sram中运行?

[答:]默认在Flash运行,但也可以选择在RAM运行。只要选择代码的存储位置,即可设置在Flash或RAM运行。

[2009-11-1810:26:52]

[14问:]代码的防偷盗,也是利用FLASH编程的方式进行吗?请专家简单介绍代码安全如何保护,谢谢

[答:]1.STM8S/L拥有可靠的读保护功能,使能读保护后,无法通过调试手段读取RAM/FLASH/EEPOM/OPTIONBYTES;而客户IAP功能不受影响

2.STM8S/L部分种类芯片(以后会扩展到所有芯片)拥有96bit只读ID号,配合IAP功能,客户可进一步增强芯片代码保护

[2009-11-1810:27:13]

[问:]请解释下swim调试接口和传统的JTAC或者ISP接口有什么区别和优势?[答:]只需要占用1个IO口,速度比ST7的ICC更快[2009-11-1810:30:27]

[问:]开发工具是个问题,为什么为向32位靠呢,JLINK或ULINK就行而又要RLINK或STLINK,而这两种都很贵请问有无比较方便的工具

[答:]ST-Link价钱较JLink,ULink,Rlink都要便宜[2009-11-1810:30:36]

[问:]开发板可以通过南京万利,还是深圳英贝特还是北京的公司申请?

[答:]现在还不可以,后续我们会通过渠道提供评估板[2009-11-1810:31:04]

[问:]STM8的最低工作电压是1.8V吗?能否再适度降低一些?比如1.5V或1.2V?[答:]最低1.65V[2009-11-1810:31:27][][2009-11-1810:32:09]

[问:]STM8L是否有免费的GCC编译器?

[答:]Cosmic提供16K代码以下交叉编译器GCC现没有支持STM8的编译器

[2009-11-1810:32:43]

[问:]在什么情况下应用带有LCD的STM8L系列产品?什么情况下应用不带LCD的STM8L 系列?

[答:]请根据您项目的具体需求选择合适的产品,[2009-11-1810:33:45]

[问:]在功耗上,STM8L与MSP430、HCS08和Microchip的nanoWattXLP系列相比有什么优势?有性能比较图吗?

[答:]STM8L有多种低功耗工作模式。很多模式下的功耗是低过MSP430、HCS08和Microchip的nanoWattXLP。比较图在presentation中有。如果没有您要的数据,您可以给ST办事处联系[2009-11-1810:34:12]

[问:]STM32系列芯片做产品要注意散热问题,我看STM8L系列的芯片封装形式,引脚间

距稍大,是不是对散热考虑就不用那么严格了?

[答:]STM32系列芯片功耗很小,一般应用不必考虑散热问题。

STM8L是超低功耗产品,一般也不存在散热问题。[2009-11-1810:34:24]

[问:]如何從暫停喚醒?

[答:]会有多种模式,比如外部中断,内部定时唤醒,具体的看我们的手册[2009-11-18 10:34:27]

[问:]是不是ISP下载调试方式可以和STM32系列利用相同的官网ISP软件?[答:]是的。[2009-11-1810:35:48]

[问:]刚才讲到STM8L能够在外部晶振失效的情况下自动转换为内部晶振工作,其频率会发生变化吗?是不是就不会发生像ATMEL的锁死现象了?谢谢!

[答:]切换到内部晶振后分频比不会改变,如果HSE的频率不是16MhZ,那么频率是会有改变的。但是你可以通过重新配置分频比来调整[2009-11-1810:35:52]

[问:]您好,我是刚用上STM8L芯片的,但是感觉STM8L芯片的LCD驱动引脚数不够,那么以后会有设计出更多LCD引脚数的芯片吗?还有一个问题是STM8L芯片的RTC的时钟现在没有独立的后背电源供应,断电之后时钟重新设置,外围电路可以补救这个问题,但是是否要考虑芯片内部有独立电源供应更方便一点?谢谢!

[答:]后面很快会有更多管脚的STM8L系列产品面世。这个心新产品也改进了RTC的性能。[2009-11-1810:36:17]

[问:]在低功耗模式下,低电压检测复位什么时候被打开?什么时候关闭?

[答:]低电压检测复位只是在上电时默认打开,随时可以通过程序关闭。[2009-11-18 10:36:27]

[问:]STM8L的IO口电平可以直接连接TTL电平吗?还是需要电平逻辑转换?[答:]检查两个芯片是否可以直连的最直接和可靠的方法是看两个的芯片的VIH/VIL及VOH/VOL是否匹配。如果对方是5V的电平,一般需要加限流电阻或逻辑转换芯片[2009-11-1810:36:43]

[问:]请问贵公司的网站是否有系列STM8L的汇编手册?是否可以下载?

[答:]使用标准的STM8核心。在ST网站下载https://www.sodocs.net/doc/068648676.html,/stonline/products/literature/pm/13590.pdf[2009-11-1810:37:43] [问:]系统调试方面,STM8L用的是什么接口?是否支持在线调试?

[答:]采用SWIM调试接口,支持在线调试,在运行读写,hot plug[2009-11-1810:37:50] [问:]刚才讲到ST-Link价钱较JLink,ULink,Rlink都要便宜,请问有何区别呢?[答:]ST-Link只支持STM8S/L,STM32系列芯片的调试下载

[2009-11-1810:38:12]

[问:]请问用UV3可以调试STM8L吗?

[答:]暂时不支持[2009-11-1810:38:47]

[问:]有没有带can口的计划?

[答:]目前STM8L没有CAN的计划,如果需要的话,你可以用STM8S.[2009-11-1810:39:17] [问:]STM8L的封装有没有PDIP的?

[答:]没有[2009-11-1810:39:21]

[问:]STM8L我刚使用了STM8L101K3,但是觉得产品的供货期时间太长,这个芯片的总体性能很不错。还有能不能提供2个串口的STM8L系列的CPU,现在只有STM8S的双串口

[答:]可与您的代理商做好生产预测和备货,可以解决供货周期的问题。在以后规划中有多串口的STM8L。

[2009-11-1810:39:42]

[问:]怎样合理使用stm8的时钟源?

[答:]问题能否具体一些?[2009-11-1810:39:55]

[问:]8L系列有没有端口复用的功能?类似STM32系列的那种,很是不错的。[答:]可以端口复用,但现在不支持端口重映射[2009-11-1810:40:32]

[问:]swim调试接口所用的IO口是固定的还是可指定的?是否可以复用?

[答:]是固定的IO口,不可指定。可以复用[2009-11-1810:40:48]

[问:]请问STM8L如何把端口重映射?

[答:]暂不支持[2009-11-1810:40:56]

[问:]STM8L101系列的集成度如何?内存密度多少?

[答:]Flash是4K或8K,RAM为1.5K。[2009-11-1810:41:21]

[问:]在IARKEIL环境下是否支持STM8L?

[答:]不支持[2009-11-1810:42:01]

[问:]STM8L如何防止被copy?

[答:]读保护防止被读取。可利用每个芯片唯一的ID做程序防盗[2009-11-1810:42:30] [问:]ST的时钟分配器各路能同时输出吗?

[答:]可以。[2009-11-1810:42:32]

[问:]请问STM8L是否有PCA、PWM模块?

[答:]STM8L的定时器输出能够实现这样的功能[2009-11-1810:42:36]

[问:]STM8L系列单片机今后是否会推出集成RF功能的型号?

[答:]你指的RF,是在什么频段的?STM32产品中即将推出带RF的。[2009-11-18 10:42:48]

[问:]刚才看到SWIM调试接口只用了4跟线,包括电源、地、SWIM;另外一个没看清。不知是什么引脚?

[答:]复位线[2009-11-1810:43:10]

[问:]ST的MCU的功耗具体利用哪些方面降低

[答:]通过特殊的工艺和结构实现。[2009-11-1810:44:00]

[][2009-11-1810:45:07]

[问:]专家说可以使用STM8L开发医疗设备,芯片的可靠性能有多高?

[答:]STM8L是符合相应的规范的,同时ST会针对可靠性,稳定性作相应的测试。[2009-11-1810:45:20]

[问:]ST系列的输出能驱动后级负载吗?

[答:]总输出电流80mA,单个IO口sink电流25mA[2009-11-1810:45:23]

[问:]活跃暂停模式和暂停模式有什么不同?

[答:]活跃暂停模式支持自唤醒,功耗略高些,暂停模式不支持自唤醒,只支持外部中断唤醒,功耗最低[2009-11-1810:45:27]

[问:]請說明觸摸感應程庫的詳細功能

[答:]详细说明和软件库可从ST网站下载[2009-11-1810:45:51]

[问:]编译器对c代码量有限制吗?

[答:]编译器对C代码量没有限制。[2009-11-1810:45:55]

[问:]最高端的8L产品有几路UART和SPI?它们的速率为多大?IIC有吗?

[答:]SPI1ch8Mbit/s maxUART1ch1Mbit/s max[2009-11-1810:46:25]

[问:]在使用STM32过程中,发现IIC的硬件使用不稳定,在STM8中,还有这样的问题吗?

[答:]STM32的IIC很稳定。请您与ST公司技术支持联系[2009-11-1810:46:43]

[问:]STM8L是否有集成电源控制的功能?就是像C8051F9XX系列那样内部集成DC/DC 功能模块,适合不同的供电电压?

[答:]STM8L内部集成电源调节器,以满足1.8到3.6的供电[2009-11-1810:46:44] [问:]内部DAC的精度为多少?转换最高速度是?

[答:]12位,速度1MHz。[2009-11-1810:47:25]

[问:]STM8L有没有按键去抖功能?

[答:]按键去抖可由软件及硬件实现。利用STM8timer input Capture,可利用硬件方便实现去抖功能[2009-11-1810:48:08]

[问:]请问STM8L有航空航天级别的吗?

[答:]现在没有。[2009-11-1810:48:31]

[问:]8位微控制器STM8L在程序保密上有什么独特的技术吗?

[答:]1.STM8S/L拥有可靠的读保护功能,使能读保护后,无法通过调试手段读取RAM/FLASH/EEPOM/OPTIONBYTES;而客户IAP功能不受影响

2.STM8S/L部分种类芯片(以后会扩展到所有芯片)拥有96bit只读ID号,配合IAP功能,客户可进一步增强芯片代码保护[2009-11-1810:49:01]

[问:]ST-Link仿真器是否可以自己DIY?有没有推荐电路?

[答:]不能DIY[2009-11-1810:49:24]

[问:]芯片没有内置倍频的模块吗?可以提升cpu运行速度

[答:]没有[2009-11-1810:49:50]

[问:]STM8L的电源管理模式包括哪些?

[答:]你指低功耗模式吧?我们的低功耗模式有低功耗运行模式,低功耗等待模式,活跃暂停模式,暂停模式等[2009-11-1810:50:21]

[问:]在线调试,支持几级断点?

[答:]断点数量无限制[2009-11-1810:50:26]

[问:]STM8L是否需要散热,是否需要大面积的铜皮散热?

[答:]STM8L的功耗超低。适用于电池供电的产品。[2009-11-1810:51:08]

[问:]编译器是否有代码量限制?如果有,上限时多少?

[答:]Cosmic免费的License代码限制在16K以内付费版无限制[2009-11-1810:51:14]

[问:]刚才讲的IEC60335-1自我检测程序在评估板的光盘中有吗?刚才讲只有通过经销商才能获得,不知是否如此,在这里能不能提供给大家一下?

[答:]这个自我检测程序只适用于向欧洲出口的家电产品。[2009-11-1810:51:29] [问:]STM8L与传统的51比较,除了功耗低还有哪些优势?

[答:]性能[2009-11-1810:51:39]

[问:]每个芯片唯一的ID,是ST设定的还是用户设定的?

[答:]ST设定的[2009-11-1810:51:47]

[问:]ST-LINK的最新版本支持哪些芯片调试?

[答:]支持所有ST MCU,如STM32,STM8S,STM8L[2009-11-1810:52:06]

[问:]自动唤醒模式是否可以选择不同的时钟源?是否可以调整唤醒时间间隔?[答:]可使用HSE或LSI。可以调整唤醒时间间隔[2009-11-1810:52:19]

[问:]SWIM调试接口与JTAG接口相比较,有哪些优势?

[答:]占用IO口数量少,连接简单,速度快[2009-11-1810:52:47]

[问:]152的ADC和DAC各有几个通道?

[答:]最多有25路ADC和1路DAC[2009-11-1810:53:13]

[问:]休眠时实时钟如何维持?耗电多大?

[答:]休眠时实时钟由电池维持,最低耗电1uA。[2009-11-1810:53:39]

[问:]请问微控制器STM8L的I/O漏电流是多少?

[答:]普通数字I/O的漏电流为+/-1uA[2009-11-1810:54:04]

[问:]为什么支持外部中断唤醒,功耗反而低?

[答:]外部中断唤醒MCU处于HALT模式,所有的时钟电源都关闭,所以功耗最低[2009-11-1810:54:09]

[问:]STM8L是否支持大容量外部ROM

[答:]需要根据具体的ROM型号而定[2009-11-1810:54:34]

[问:]STM8L内部是硬加密还是软加密?

[答:]1.STM8S/L拥有可靠的读保护功能,使能读保护后,无法通过调试手段读取RAM/FLASH/EEPOM/OPTIONBYTES;而客户IAP功能不受影响

2.STM8S/L部分种类芯片(以后会扩展到所有芯片)拥有96bit只读ID号,配合IAP功能,客户可进一步增强芯片代码保护[2009-11-1810:54:56]

[问:]芯片的抗干扰性能如何,应用于电力仪表中可以吗?

[答:]STM8L是针对工业应用的产品,可以用于电力仪表。[2009-11-1810:54:58] [问:]STM8L和STM8S除了can的接口,还有什么不同?

[答:]带DMA功耗更低12为ADC带DAC[2009-11-1810:54:59]

[问:]理论上,STM8L的I/O口的可以抗多大付的静电?

[答:]HBM模型2000VCDM模型1000V[2009-11-1810:56:01]

[问:]无限制的license费用多少?

[答:]请联系编译器供应商[2009-11-1810:56:44]

[问:]STM8L的功耗不会随供电电压的增高而增高,请教ST专家这是如何处理实现的?[答:]通过特殊的生产工艺实现。[2009-11-1810:56:52]

[问:]8L是否支持OS?有没有UCOS的代码的开发例程?

[答:]理论上可以,但是在8位机上运行OS有些吃力,现在在STM8S/L上没有usos的开发例程[2009-11-1810:57:23]

[问:]请问STM8L的PWM功能如何?

[答:]很好[2009-11-1810:57:33]

[问:]请问STM8L是否有集成升压模块的型号,适合一节电池供电的情况?

[答:]目前还没有这样的型号。[2009-11-1810:57:38]

[问:]双看门狗有何优势?

[答:]工作更可靠使用更灵活[2009-11-1810:58:07]

[问:]STM8L可以内存多少比特代码?

[答:]STM8L的Flash最小是4K字节,最大达32K字节。[2009-11-1810:58:36] [问:]STEVAL-IAS003V1超低功耗演示板的電池可運用多久?是否有實測值?

[答:]理论值可根据电池容量和待机时功耗计算。理论上可待机10年以上,因此没有实测值。[2009-11-1810:58:41]

[问:]在复位后IO的输出是高电平还是低电平或开漏?可以配置吗?

[答:]是高阻态。[2009-11-1810:58:42]

[问:]请问.8位微控制器STM8L系列微控制器如果用于闭环控制,他的速度能有多快?[答:]闭环控制算法很多,根据算法和最终代码才能确定[2009-11-1810:58:59] [问:]PWM頻率可達多高?

[答:]最高等同于Fcpu[2009-11-1810:59:16]

[问:]问一下专家,8L是否支持OS?有没有UCOS的代码的开发例程?

[答:]可以支持OS。我们目前没有UCOS的代码的开发例程。[2009-11-1810:59:19] [问:]ST的STVD的那个版本支持现有的STM8L芯片?

[答:]现在最新的4.1.3可以支持STM8L[2009-11-1810:59:22]

[问:]感谢专家刚才的解答,我说的RF是ISM频段的,现在常用的是433/2.4G,可以根据需要调整频率。就像TI的CC11XX、CC2500、CC430系列那样,ST是否也会推出类似的型号?

[答:]STM32会推出内置2.4G的。[2009-11-1810:59:39]

[问:]我想使用STM8L的芯片开发手持式的仪表,有哪些产品有40个I/O口?

[答:]STM8L15x48pin最多有41个IO口可供使用[2009-11-1811:00:01]

[问:]STM8L,STM8S系列主要差别在哪里?

[答:]STM8L的功耗超低,带LCD控制,和DAC,供电电压也低[2009-11-1811:00:40]

[问:]请问我们从哪里可以看到8位微控制器STM8L的基准测试结果?谢谢

[答:]请查看我们最新的数据手册。可能一些数据还没有更新,请关注数据手册的更新。[2009-11-1811:01:14]

[问:]stm8的时钟16M,是指内核速度吗?它的指令周期多长?

[答:]内核的时钟频率是16MHz,指令是CISC指令,不同指令的长度不一样,最短的只有1个时钟周期,平均是2个时钟周期。[2009-11-1811:01:21]

[问:]8L系列有没有以太网的解决方案呢?有没有参考例程?参考电路设计有吗?[答:]STM32F107系列带以太网控制器[2009-11-1811:01:44]

[问:]STM8L的I/O口能防抗静电1-2KV是如何实现的?

[答:]设计与测试保证[2009-11-1811:01:45]

[问:]STM8L系列MCU的开发工具有哪些?编程语言支持哪些?

[答:]一般用STLINK。支持汇编和C语言[2009-11-1811:02:31]

[问:]用STM8L做256点,16位整形的FFT计算,大概要多长时间?STM8L做FFT可行吗?

[答:]未曾测试过,可以做FFT运算[2009-11-1811:02:32]

[问:]是否有工業馬達控制範例?

[答:]我们推荐您需用STM8S或者STM32控制工业马达。[2009-11-1811:02:46] [问:]AD是一个很耗电的组件,STM8L内部AD在功耗上有什么优势吗?

[答:]优势是低功耗。[2009-11-1811:03:04]

[问:]对电影纹波的容忍度多少?

[答:]要看具体的应用。普通简单控制和触摸按键控制对电源纹波要求就很不一样。

从EMC角度来说,STM8EFTB:4A VESD:2B[2009-11-1811:03:44]

[问:]请问STM8S103K3的I/O口PDO\PB0\PB1\PB2无法控制是什么原因造成的?其他口都很正常.

[答:]型号不对,或者程序不对[2009-11-1811:04:06]

[问:]STM8L可以使用内部的flash的部分空间做成EEPROM用于存储可变数据吗?[答:]STM8L内部有真正的1KB EEPROM,用于存储数据。[2009-11-1811:04:24] [问:]STM8L的抗干扰性能如何?如何在STM8L的硬件和软件上有什么好的方法?[答:]STM8L的抗干扰性强。在ST网站能下载到提高抗干扰性的应用笔记[2009-11-18 11:05:11]

[问:]STM8L是否支持串口ISP?

[答:]支持。[2009-11-1811:05:32]

[问:]编译器是否有内嵌逻辑分析仪?能够分析代码运行情况,以及管脚电平变化?

[答:]没有STM8L的SWIM接口可以在完全不影响MCU运行的情况下检测内部所有寄存器/存储器的状态,可以通过I/O口的控制寄存器检测管脚电平变化

[2009-11-1811:05:37]

[问:]请问STM8L有没有集成USB的型号,如果有是否支持USB-HID模式?[答:]STM8L没有集成USB的型号。[2009-11-1811:05:40]

[问:]有否使用於智能電表及智能電網的範例?

[答:]STM8L有适合智能电表应用的产品,后续会有参考设计[2009-11-1811:06:34] [问:]此微处理器的EMC等极是多少?

[答:]VESD:2BEFTB:4A合适的设置可以使整个系统达到更高的水准。(一个实例:EFTB: 4800V,ESD:12000V)[2009-11-1811:06:34]

[问:]对高、低温环境,潮湿等恶劣环境的适应性如何?

[答:]良好[2009-11-1811:06:56]

[问:]STM8L有没有用于医疗产品的实际案例

[答:]STM8L功耗低,性价比高,特别适用于便携式医疗产品[2009-11-1811:07:55] [问:]UART速度可達多高?

[答:]1Mbps[2009-11-1811:08:07]

[问:]8L的看门狗有窗口看门狗和?

[答:]独立看门狗[2009-11-1811:08:27]

[问:]stm8l有多少个中断,优先级是否可以调整,是否支持硬件的中断嵌套?[答:]最多32个中断,优先级可以调整,支持硬件中断嵌套[2009-11-1811:08:45] [问:]3.6v工作ad的电压基准最低为多少?

[答:]请参考具体datasheet,一般来说,有ref引脚的芯片可使用更低的电压基准[2009-11-1811:09:07]

[问:]有没有内部电压基准?ADC和DAC使用的电压基准是外接的还是内部的?[答:]有内部电压基准,有些型号也可以使用外部电压基准。[2009-11-1811:09:20] [问:]怎样实现低电压检测?

[答:]STM8L内嵌有低电压检测电路。[2009-11-1811:09:24]

[问:]有没有STM8的选型手册?如何区分民用级、工业级和军工级?

[答:]STM8的选型手册可从网站上下载,或联系ST办事处,代理商索取。STM8S,STM8L

是工业级的。STM8A是汽车级的[2009-11-1811:09:32]

[问:]请问STM8L芯片的时间响应性能如何

[答:]请具体说明对何种信号的时间响应[2009-11-1811:09:49]

[问:]8L是否支持OS?有没有UCOS的代码?

[答:]一般很少在8位机上运行OS[2009-11-1811:10:14]

[问:]8L可否移植OS?是不是UCOS是可以的?

[答:]uCOS应该可以,但是要根据应用裁剪。[2009-11-1811:10:16]

[问:]我使用STVD+Cosmic开发,为什么在STVD中的选择芯片STM8S103F3或者STM8S103K3都可以与板上的STM8S103K3连接成功?而STM8S103F3刚好没有PDO\PB0\PB1\PB2这几个引脚.会不会是选择不了正确的芯片引起的啊

[答:]一个DIE的芯片所以可以连接成功。型号不对,开放给客户的功能不同[2009-11-18 11:10:23]

[问:]STM8L能否用于无线手持RFID阅读器开发?

[答:]特别适合[2009-11-1811:10:46]

[问:]STM8L动态电流150μA/MHz这一水平还有可能会被迅速突破吗?

[答:]新技术层出不穷,这个问题不好说。但STM8L的水平(150μA/MHz)在今天确实是很不错的。[2009-11-1811:10:53]

[问:]使用SWIM接口是否占用内存资源?

[答:]不占用,这是ST swim的优势之一[2009-11-1811:10:57]

[问:]STM8L最大支持的程序和数据地址有多大?

[答:]程序地址24位,数据8位[2009-11-1811:11:12]

[问:]该微处理器能支持视频编解码芯片么?

[答:]支持与编解码芯片通信:-)[2009-11-1811:11:16]

[问:]支持的最大分辨率是多少?

[答:]什么分辨率?[2009-11-1811:11:38]

[问:]為何上電復位功能是"零耗電"?

[答:]经过特殊处理,此模块的功耗极低,当然不是绝对的零功耗,但是非常接近。[2009-11-1811:12:32]

[问:]请问你们8位MCU有和无线传感器方面的应用吗?还有一些简单的继电器控制的应用,抗干扰能力如何?

[答:]有这方面的应用。STM8的抗干扰性强[2009-11-1811:12:34]

[问:]运行模式下BOR为何要被关闭,那么低电压检测不就没起作用?

[答:]可以通过程序选择,由用户程序决定是否关闭。如果你的程序不要求低电压检测时可以关闭它,以进一步降低功耗。[2009-11-1811:13:46]

[问:]请问这个系列的MCU是否有带有码盘脉冲输入的型号吗?

[答:]STM8S有高级定时器,支持编码器输入

STM8L的普通定时器也支持输入捕获,但需要接编码器的话,需软件完成部分功能[2009-11-1811:14:01]

[问:]STM8L微控制器寻址空间有多大?

[答:]16M Byte.[2009-11-1811:14:17]

[问:]看门狗的时钟来自哪里?

[答:]不同看门狗可以根据需要选择不同的时钟独立看门狗:LSI窗口看门狗:采用系统时钟SYSCLK[2009-11-1811:14:22]

[问:]STM8L上的SWTM引脚可作复用吗?

[答:]可以用作普通I/O[2009-11-1811:14:25]

[问:]现阶段的stm8l支持点阵的lcd屏显示吗?

[答:]不支持[2009-11-1811:14:42]

[问:]STM8L系列微控制器的I/O能否直接支持SSTL电平?

[答:]不支持[2009-11-1811:14:54]

[问:]能否简单介绍一下超低功耗的创新工艺,和传统cmos工艺有哪些不同之处?谢谢[答:]主要是大幅降低了静态情况下的漏电流。[2009-11-1811:15:12]

[问:]EMI滤波器会产生延迟吗?

[答:]sure,但要看EMI filtter的参数来评估是否对你的系统有实质性的影响[2009-11-18 11:15:23]

[问:]这个产品抗冲击力在多大范围,适合多大加速度的区间应用?

[答:]没有这方面的数据,要根据你的应用做一些测试。另外,STM8L在可靠性方面是非常好的。[2009-11-1811:16:15]

[问:]EEPROM可覆寫次數為何?

[答:]超过300K次。[2009-11-1811:17:04]

[问:]STM8L15x的超低功耗演示板的JTAG,为何还要预留对STM32的调试和配置?[答:]这是为后续产品预留的接口。[2009-11-1811:17:51]

[问:]该mcu可以做无刷直流电动机控制用吗?

[答:]STM8S有专门的电机控制模块,完全支持BLDC,ST官网有相应的库供用户参考。

STM8L虽然没有专门的电机控制模块,但其定时器支持简单的BLDC控制。[2009-11-18 11:18:03]

[问:]以后会不会推出128K和256K的STM8L芯片?

[答:]使用这么多的Flash的系统会比较复杂,对外设的要求也多。建议使用STM32L [2009-11-1811:18:15]

[问:]可不可以从北京或者上海申请样片?有没有赠送开发板机活动呢??什么时候能有?

[答:]可以从我们的代理商申请样片。我们会考虑明年送STM8L的开发板,如果响应活跃的话。[2009-11-1811:18:28]

[问:]请问8L系列的IO驱动能力,和8S和STM32系列的相比有什么区别吗?[答:]可以达到20mA的驱动能力。[2009-11-1811:19:12]

[问:]STM8L的时钟失效检测CSS和恢复机制需要配置吗?

[答:]CSS可以通过程序使能,一旦使能则不能被禁止直至下一次复位。[2009-11-18 11:19:42]

[问:]STM8L应用在医疗设备,手机等方面这些电器本身的辐射对ST有影响吗

[答:]STM8L的抗干扰性强。系统设计时也应做屏蔽。[2009-11-1811:19:58] [问:]处理器的总线是如何架构的?

[答:]哈佛架构。[2009-11-1811:20:11]

[问:]智能复位内部数据会造成丢失吗?

[答:]不断电复位后RAM内容不会丢失。但如果在FLASH/EEPROM编程时,可能会造成数据丢失[2009-11-1811:20:20]

[问:]支持RS232,rs485?

[答:]可以支持。[2009-11-1811:20:59]

[问:]STM8L系列处理器的网络连接和那几种标准兼容?它的吞吐量有多高?

[答:]STM8L包含SPI,I2C,UART通信接口,速率需要视具体芯片而定[2009-11-1811:21:07] [问:]STM8L是否适合用于儿童玩具产品

[答:]非常适合[2009-11-1811:21:19]

[问:]STM8L系列兼容51的汇编语吗

[答:]不兼容[2009-11-1811:22:04]

[问:]STM8L有没有1V以下工作电源的产品?

[答:]没有。STM8L功耗超低,适合电池供电的应用。[2009-11-1811:22:10] [问:]向STM8L中写入代码的传输速度最快多大?

[答:]1个block(一般为64byte)~3ms(芯片为空时)~6ms(芯片非空时)[2009-11-18 11:22:11]

[问:]时钟分配是通过什么途径来控制?

[答:]通过寄存器控制时钟门控电路[2009-11-1811:23:22]

[问:]STM8L内的ADC/DAC没有独立的电源引脚,会不会在动态运行时,对其精度有影响?

[答:]因为STM8L的功耗非常低,ADC/DAC没有独立的电源引脚,不会在动态运行时,对精度有影响。[2009-11-1811:24:32]

[问:]内部RC振荡器的精度可满足串口通讯的要求吗?

[答:]要看通讯速度(STM8uart最快可达1M baud rate/fcpu=16Mhz),一般情况下完全满足。[2009-11-1811:25:12]

[问:]STM8L15LPBOARD的液晶资料哪里有呀?

[答:]请到STM MCU官网查询https://www.sodocs.net/doc/068648676.html,/mcu[2009-11-1811:26:05]

[问:]蓝牙设备的接口为SPI接口时该如何连接?

[答:]可以使用STM8L的SPI接口直接连接。[2009-11-1811:26:16]

[问:]stm8l是否主要工作在单片模式,支持扩展模式吗?

[答:]如果扩展存储器,你可以通过SPI(SPI flash),如果和其他处理器通信,可以通过串口。[2009-11-1811:27:28]

[问:]内存可以升级吗?

[答:]内部FLASH与EEPROM可以在线更新[2009-11-1811:27:32]

[问:]ST芯片有温度补偿吗

[答:]STM8L15x有内部温度传感器,你可以通过程序实现温度补偿。芯片本身没有自动的温度补偿功能。

[2009-11-1811:27:56]

[问:]当使用内部复位时,外部复位管脚有什么要求?可以当i/o用吗?

[答:]可以当普通I/O口用,但需要适当配置。如果没有设置为普通I/O,当内部复位发生时,reset脚也会输出复位信号[2009-11-1811:28:09]

[问:]如果使用12M晶振,执行一条mov指令需要多长时间?

[答:]最少一个CYCLE,1/12MHZ[2009-11-1811:28:23]

[问:]通过采用最新架构,性能和功耗达到新高,请问采用的什么最新架构?

[答:]改进型的哈佛架构[2009-11-1811:28:55]

[问:]STM8L的抗电磁干扰能力如何?用不用什么特殊保护?

[答:]符合IEC61000标准。是否需要特殊保护,要看你的应用环境决定。[2009-11-18

11:29:17]

[问:]请问STM8L151F3/K3大概何时能够提供样品?

[答:]明年1月[2009-11-1811:29:43]

[问:]FLASH的加密位是几位的?

[答:]STM8S/L内部有96bit unique ID,可供用户作软件加密[2009-11-1811:29:52] [问:]STM8L中的DMA是什么?

[答:]可以在内核不参与的情况下实现内存到外设与内存到内存之间的数据传输[2009-11-1811:30:23]

[问:]STM8L复位IC是否可直接插入电路板取代现有组件?

[答:]STM8L是单片机不是复位IC[2009-11-1811:30:52]

[问:]STM8L系列的的供电系统是如何设置的?

[答:]稳定的电源、在1.8到3.6之间就行。

STM8L101最低工作电压可以达到1.65V。

STM8L15x在关闭BOR时,最低工作电压也可以达到1.65V。[2009-11-1811:32:13] [问:]STM8L系列中有固化好引导代码的产品吗?

[答:]正在开发,即将支持。[2009-11-1811:34:03]

[问:]该产品系列质保时间是多久?

[答:]在不超过单片机极限的使用条件下,程序可以保存20年。[2009-11-1811:35:18] [问:]STM8L在1.65V时进行编程,是否可靠?

[答:]没有问题[2009-11-1811:36:03]

[问:]如果我使用贵公司的芯片STM8L进行教学使用,会不会难度较大?与一般的单片机,比如STC89C52等相比,难度如何?谢谢!

[答:]不难,请联系我们的办事处。[2009-11-1811:36:25]

[问:]8L价位如何?大概在什么范围?

[答:]STM8L性价比非常有竞争力。具体价格,请接洽我们的代理商。[2009-11-18 11:37:19]

[问:]功耗效率指标是如何定义的,用户对其开发板如何检测这个指标?

[答:]功耗效率指标是mA/DMIPS,即定量电流所能完成的计算操作的多少。[2009-11-18 11:37:36]

[问:]内部的RAM是什么结构,是否会受到时钟频率调整的影响?如果PLL暂时失锁,会不会导致程序跑飞掉?

[答:]只要在datasheet规定的时钟范围内,时钟变化对其无影响。

STM8L目前内部没有PLL[2009-11-1811:37:42]

[问:]使用STM8L产品在PCB布线时,有什么特别要注意的地方,加入我使用工具自动布线,这样的产品会有什么问题吗?

[答:]我们将会提供单片机布线的建议手册的[2009-11-1811:38:28]

[问:]推挽输出与开漏输出的区别?

[答:]芯片内的驱动电路不一样,外部接口电路也不一样。[2009-11-1811:38:48] [问:]STM8L101系列内部好像没有RTC,这对其低功耗会有影响吗?

[答:]STM8L101因为没有内部RTC,比有内部RTC的STM8L15x的功耗更低。[2009-11-18 11:39:55]

[问:]后备电源模块和主电源模块如何切换?

[答:]STM8L只有一个电源模块,没有切换的问题。[2009-11-1811:43:03]

[问:]针对片内的高速SRAM,有哪些方法降低动态和静态功耗?

[答:]片内的SRAM本身耗电(静态功耗)就很小,而且只在使用时才有驱动时钟,这样就降低了动态功耗。[2009-11-1811:44:57]

[问:]STM8L活跃暂停模式的唤醒方式都有哪几种?

[答:]I/O中断、外设中断、AWU中断及复位[2009-11-1811:45:46]

[问:]对于STM8L动态运行时,FLash/SRAM那种功耗会比较大,如果只考虑功耗指标,专家推荐那种?

[答:]RAM中运行功耗更低。但RAM容量有限,最大也只有2K,可以用于运行一些需要循环等待或查询的程序段。[2009-11-1811:46:29]

[问:]全速运行的功耗是芯片的基本功耗还是包括基本外围接口的所有功耗?

[答:]是芯片本身的功耗,不包括外部器件。

STM8L的数据手册中有详细的数据,区分片内外设工作和不工作,以及不同CPI频率的功耗。[2009-11-1811:48:46]

[问:]动态的电源管理,如何来管理不同操作点的切换?

[答:]通过控制不同模块的电源开关实现。[2009-11-1811:49:27]

[问:]请问:我们需要把产品的调试设置参数保存到EEPROM中,以及运行调试需要与外部的设备进行通信;请问:建议使用I2C,UART,SPI?用哪一个通信方式比较简单实用呢?

[答:]都很方便,ST的bootloader使用的是UART。[2009-11-1811:49:42]

[问:]stm8内核的运算效率似乎不错,那么如果和stm32比,同频率运行的话大约是stm32的百分之多少呢?

[答:]STM32的内核速度是1.25DMPIS,而STM8的内核速度大约是0.8DMIPS。[2009-11-18 11:52:18]

[问:]程序在RAM与在FLASH上跑的速度差别如何?

[答:]在STM8L中,程序在RAM与在FLASH上跑的速度没有差别。[2009-11-1811:53:02] [问:]CPU保持状态,是否采用停clock的方法。停外设,是否采用断power的方式?[答:]STM8L在halt/active halt下,电源也进入低功耗模式:MVR关闭,LPVR开启[2009-11-1811:53:03]

[问:]STM8L对于输入电源的纹波有什么要求?

[答:]对于不同的应用有不同的要求。例如STM8L支持的触摸按键应用对电源纹波的要求比一般的应用要高[2009-11-1811:54:29]

[问:]在所有模式下SRam都是ON吗?

[答:]在电压不低于V ITMAX。停机模式下关闭RAM。

相关主题