搜档网
当前位置:搜档网 › 脉冲计时器

脉冲计时器

脉冲计时器
脉冲计时器

怎么确定步进电机脉冲频率

怎么确定步进电机脉冲频率 步进电机驱动及控制技术解答 南京步进电机厂技术部 1.步进电机为什么要配步进电机驱动器才能工作? 步进电机作为一种控制精密位移及大范围调速专用的电机, 它的旋转是以自身固有的步距角角(转子与定子的机械结构所决定)一步一步运行的, 其特点是每旋转一步,步距角始终不变,能够保持精密准确的位置。所以无论旋转多少次,始终没有积累误差。由于控制方法简单,成本低廉,广泛应用于各种开环控制。步进电机的运行需要有脉冲分配的功率型电子装置进行驱动, 这就是步进电机驱动器。它接收控制系统发出的脉冲信号,按照步进电机的结构特点,顺序分配脉冲,实现控制角位移、旋转速度、旋转方向、制动加载状态、自由状态。控制系统每发一个脉冲信号, 通过驱动器就能够驱动步进电机旋转一个步距角。步进电机的转速与脉冲信号的频率成正比。角位移量与脉冲个数相关。步进电机停止旋转时,能够产生两种状态:制动加载能够产生最大或部分保持转矩(通常称为刹车保持,无需电磁制动或机械制动)及转子处于自由状态(能够被外部推力带动轻松旋转)。步进电机驱动器,必须与步进电机的型号相匹配。否则,将会损坏步进电机及驱动器。 2.什么是驱动器的细分?运行拍数与步距角是什么关系? “细分”是针对“步距角”而言的。没有细分状态,控制系统每发一个步进脉冲信号,步进电机就按照整步旋转一个特定的角度。步进电机的参数,都会给出一个步距角的值。如110BYG250A型电机给出的值为0.9°/1.8°(表示半步工作时为0.9°、整步工作时为1.8°),这是步进电机固有步距角。通过步进电机驱动器设置的细分状态,步进电机将会按照细分的步距角旋转位移角度,从而实现更为精密的定位。以110BYG25 0A电机为例,列表说明: 电机固有步距角运行拍数细分数电机运行时的真正步距角 0.9°/1.8°8 2细分,即半步状态0.9° 0.9°/1.8°20 5细分状态0.36° 0.9°/1.8°40 10细分状态0.18° 0.9°/1.8°80 20细分状态0.09° 0.9°/1.8°160 40细分状态0.045° 可用看出,细分数就是指电机运行时的真正步距角是固有步距角(整步)的几分指一。例如,驱动器工作

单片机脉冲信号测量

郑州工业应用技术学院 课程设计说明书 题单片机脉冲信号测量 姓名: 院(系):信息工程学院专业班级:计算 机科学与技术学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以 实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要............................................................... I 目录............................................................... II 第一章技术背景及意义 (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

脉冲信号

脉冲信号 在电子技术中,脉冲信号是一个按一定电压幅度,一定时间间隔连续发出的脉冲信号。脉冲信号之间的时间间隔称为周期;而将在单位时间(如1秒)内所产生的脉冲个数称为频率。频率是描述周期性循环信号(包括脉冲信号)在单位时间内所出现的脉冲数量多少的计量名称;频率的标准计量单位是Hz(赫)。电脑中的系统时钟就是一个典型的频率相当精确和稳定的脉冲信号发生器。频率在数学表达式中用“f”表示,其相应的单位有:Hz(赫)、kHz(千赫)、MHz(兆赫)、GHz(吉赫)。其中1GHz=1000MHz,1MHz=1000kHz,1kHz=1000Hz。计算脉冲信号周期的时间单位及相应的换算关系是:s(秒)、ms(毫秒)、μs(微秒)、ns(纳秒),其中:1s=1000ms,1 ms=1000μs,1μs=1000ns。 CPU的主频,即CPU内核工作的时钟频率(CPU Clock Speed)。通常所说的某某CPU是多少兆赫的,而这个多少兆赫就是“CPU的主频”。很多人认为CPU 的主频就是其运行速度,其实不然。CPU的主频表示在CPU内数字脉冲信号震荡的速度,与CPU实际的运算能力并没有直接关系。主频和实际的运算速度存在一定的关系,但目前还没有一个确定的公式能够定量两者的数值关系,因为CPU的运算速度还要看CPU的流水线的各方面的性能指标(缓存、指令集,CPU的位数等等)。由于主频并不直接代表运算速度,所以在一定情况下,很可能会出现主频较高的CPU实际运算速度较低的现象。比如AMD公司的AthlonXP系列CPU大多都能以较低的主频,达到英特尔公司的Pentium 4系列CPU较高主频的CPU性能,所以AthlonXP系列CPU才以PR值的方式来命名。因此主频仅是CPU性能表现的一个方面,而不代表CPU的整体性能。 CPU的主频不代表CPU的速度,但提高主频对于提高CPU运算速度却是至关重要的。举个例子来说,假设某个CPU在一个时钟周期内执行一条运算指令,那么当CPU运行在100MHz主频时,将比它运行在50MHz主频时速度快一倍。因为100MHz的时钟周期比50MHz的时钟周期占用时间减少了一半,也就是工作在100MHz主频的CPU执行一条运算指令所需时间仅为10ns比工作在50MHz主频时的20ns缩短了一半,自然运算速度也就快了一倍。只不过电脑的整体运行速度不仅取决于CPU运算速度,还与其它各分系统的运行情况有关,只有在提高主频的同时,各分系统运行速度和各分系统之间的数据传输速度都能得到提高后,电脑整体的运行速度才能真正得到提高。 总线是将计算机微处理器与内存芯片以及与之通信的设备连接起来的硬件通道。前端总线将CPU连接到主内存和通向磁盘驱动器、调制解调器以及网卡这类系统部件的外设总线。人们常常以MHz表示的速度来描述总线频率。 前端总线(FSB)频率是直接影响CPU与内存直接数据交换速度。由于数据传输最大带宽取决于所有同时传输的数据的宽度和传输频率,即数据带宽=(总线频率×数据位宽)÷8。目前PC机上所能达到的前端总线频率有266MHz、333MHz、400MHz、533MHz、800MHz几种,前端总线频率越大,代表着CPU 与内存之间的数据传输量越大,更能充分发挥出CPU的功能。现在的CPU技

testbench时钟信号的编写(verilog)

testbench时钟信号的编写 2011-01-13 11:07:38| 分类:FPGA的分享| 标签:clock parameter reg 占空比 time_period |举报|字号大中小订阅 /******************************************************* 时钟信号的编写 *******************************************************/ 'timescale 1ns/1ps //定义时间单位/时间精度 /******************占空比50%(采用initial)**************/ parameter TIME_PERIOD = 10; reg clock; initial begin clock = 0;//初始化clock为0 forever # (TIME_PERIOD/2) clock = ~clock; end /******************占空比50%(采用always)***************/ parameter TIME_PERIOD = 10; reg clock; initial clock = 0;//初始化clock为0 always # (TIME_PERIOD/2) clock = ~clock; /******************非50%占空比(采用always)*************/ parameter HI_TIME = 5, LO_TIME = 10; reg clock; always begin # HI_TIME clock = 0; # LO_TIME clock = 1; end /***********固定数目时钟占空比50%(采用initial)*********/ parameter PULSE_COUNT = 4, TIME_PERIOD = 10; reg clock; initial begin clock = 0;//初始化clock为0 repeat (2*PULSE_COUNT)

时钟信号RC阻抗匹配

时钟信号(CLOCK)阻抗匹配四种处理方式 当传输路径上阻抗不连续时,会有反射发生,阻抗匹配的作用就是通过端接元器件,使传输路线上的阻抗连续以去除传输链路上产生的反射。常见的阻抗匹配如下: 一、串联端接方式 靠近输出端的位置串联一个电阻,要达到匹配效果,串联电阻和驱动端输出阻抗的总和应等于传输线的特征阻抗Z0。 在通常的数字信号系统中,器件的输出阻抗通常是十几欧姆到二十几欧姆,传输线的阻抗通常会控制在50欧姆,所以始端匹配电阻常见为33欧姆电阻。 当然要达到好的匹配效果,驱动端输出到串联电阻这一段的传输路径最好较短,短到可以忽略这一段传输线的影响。 串联电阻优缺点如下: (1)优点 1、只需要一个电阻; 2、没有多余的直流功耗; 3、消除驱动端的二次反射;

4、不受接收端负载变化的影响; (2)缺点 1、接收端的一次发射依然存在; 2、信号边沿会有一些变化; 3、电阻要靠近驱动端放置,不适合双向传输信号; 4、在线上传输的电压是驱动电压的一半,不适合菊花链的多型负载结构。 二、并联端接方式 并联端接又叫终端匹配,要达到阻抗匹配的要求,端接的电阻应该和传输线的特征阻抗Z0相等。 在通常的数字信号传输系统里,接收端的阻抗范围为几兆到十几兆,终端匹配电阻如果和传输线的特征阻抗相等,其和接收端阻抗并联后的阻抗大致还是在传输线的特征阻抗左右,那么终端的反射系数为0。不会产生反射,消除的是终端的一次反射。 并联端接优缺点 (1)优点 1、适用于多个负载 2、只需要一个电阻并且阻值容易选取

(2)缺点 1、增加了直流功耗 2、并联端接可以上拉到电源或者下拉到地,是的低电平升高或者高电平降低,减小噪声容限。 三、AC并联端接 并联端接为消除直流功耗,可以采用如下所示的AC并联端接(AC终端匹配)。要达到匹配要求,端接的电阻应该和传输线的特征阻抗Z0相等。 优缺点描述如下: (1)优点 1、适用于多个负载 2、无直流功耗增加 (2)缺点 1、需要两个器件 2、增加了终端的容性负载,增加了RC电路造成的延时 3、对周期性的信号有效(如时钟),不适合于非周期信号(如数据) 四、戴维南端接 戴维南端接同终端匹配,如下图,要达到匹配要求,终端的电阻并联值要和传输

脉冲信号参数测量仪

2016年TI杯江苏省大学生电子设计竞赛题目: 脉冲信号参数测量仪 题目编号: E题 参赛队编号: 参赛队学校: 参赛队学生: 二○一六年七月

目录 摘要 (1) 1.设计方案工作原理 (1) 1.1方案选择 (1) 1.2总体方案设计 (2) 2.核心部件电路设计 (3) 2.1高速缓冲电路 (3) 2.2自动增益电路 (3) 2.3高速比较器电路 (4) 2.4放大电路 (5) 3.系统软件设计分析 (5) 3.1 CPLD数据处理 (5) 4.竞赛工作环境条件 (6) 4.1设计分析软件环境 (6) 4.2仪器设备硬件平台 (6) 5.作品成效总结分析 (6) 5.1脉冲信号频率测量 (6) 5.2脉冲信号占空比测量 (7) 5.3脉冲信号幅值测量 (7) 5.4脉冲信号上升时间测量 (8) 6.参考文献 (8) 附录.................................................................................................. 错误!未定义书签。

脉冲信号参数测量仪 摘要:本作品以美国德州仪器(TI)生产的16位超低功耗单片机MSP430F169作为主控芯片,利用CPLD技术实现矩形脉冲信号的频率、占空比、上升时间的测量,并且利用CPLD产生一个标准矩形脉冲信号。本设计外围硬件电路主要由高速缓冲降压模块、AGC自动增益模块、幅度测量模块组成,通过对上述模块的合理整合,设计并制作了一个性能较好的脉冲信号参数测量仪。由于采用了AGC模块,系统实现了全程自动增益控制,稳定输出电压。 针对矩形脉冲信号的特点,本设计采用多种抗干扰措施,对电路布线进行优化,并合理运用低噪声芯片OP07、OPA690、VCA810、THS3001、TLV3501。后期,利用ADS1115及Matlab,对测试数据进行合理的分析,以优化算法系统,进一步提高了精度。 该脉冲信号参数测量仪结构简单,性能稳定,功能完善,达到了各项设计指标。关键词:脉冲信号参数测量仪;CPLD ;AGC ;TLV3501 ;Matlab; 1.设计方案工作原理 1.1方案选择 本方案主要由THS3001缓冲模块、AGC自动增益模块、TLV3501高速比较模块、ADS1115模块组成,实现脉冲信号频率、占空比、幅度、上升时间测量。 1、主控部件选择 方案一:采用CPLD作为参数测量仪的主控芯片,完成参数测量及实时显示等全部功能。CPLD具有可编程和大规模集成的特点,此方案可以使电路大为简化,但此设计仅使用PLD不能充分发挥其特点及优势,导致系统性能降低。因此不采用此方案。 方案二:采用FPGA作为主控芯片,FPGA外围拓展功能更多,但在运行速度、编程灵活性以及使用方便性上CPLD优于FPGA,即在电路结构上FPGA更复杂,因此不采用此方案。 方案三:采用CPLD和单片机相结合的方案。分别利用CPLD在信号处理高速稳定方面以及单片机在逻辑运算、智能控制方面的优越性,使得电路不仅能够简化,而且能够达到设计要求,因此选择方案三。 2、频率测量 方案一:采用周期法。需要有标准倍的频率,在待测信号的一个周期内,记录标准频率的周期数,这种方法的计数值会产生±1个脉冲误差,并且测试精度与计数器中的记录的数值有关,为了保证测试精度,测周期法仅适用于低频信号的测量。

脉冲信号发生器检定规程范文

脉冲信号发生器检定规程范文(JJG490-93) 本规程适用于新制造、使用中和修理后的XC-13A、XC-14A、XC-16A、XC -19A 等同类型脉冲信号发生器的主要工作特性的检定。 一概述 XC43A、XC-14A、XC-16A、XC-19A等型号的脉冲信号发生器是全晶体化的仪器,具有性能稳定、使用方便、波形失真小、重复频率范围宽、上升沿和下降沿可变或固定等特点,是研究脉冲电路、逻辑电路、集成电路等方面不可缺少的仪器; 二技术要求 1. 2. 上冲〈过冲〉≤5% 预冲≤5% 衰减振荡≤5% 倾斜≤5% 3.可选择正脉冲、正倒置、负脉冲、负倒置四种波形中的任意一种. 4.直流偏移: -1~+1V连续可调. 5.触发输出脉冲 5.1 频率与输出脉冲相同. 5.2 幅度: 小于1.5V〈负脉冲〉. 6.外触发: 具有由外部信号源触发和单次触发两种工作方式. 6.1 频率范围: 10 Hz~50 MHz. 6.2波形:负脉冲. 6.3触发幅度: 以说明书给出指针为准. 7.单次: 在前面板上用手动控制. 三检定条件 (一)坏境条件 8.环境温度: 220±5℃. 9.相对湿度: 45~80%. 10.大气压力: 86~106kpa 11.电源电压: 22OV±2% 50±1 Hz (二)检定用设备 12.检定用设备见表2

四检定项目及检定方法 (一)外观及电性能检查 13.被检脉冲信号发生器不应有影响仪器正常工作及读数的任何机械损伤,各个 旋钮要调节平滑,接触良好,各波段开关跳步清晰. 14.按说明书规定接通电源,经过预热,用双踪宽带示波器进行观察,被检脉冲信 号发生器应能正常工作,所有控制开关及有关旋钮能起控制作用,各输出端均应有输出. 15.将重复频率波段开关置于“外”位置,脉冲输出接到示波器或计数器的输入 端,按下"单次"功能按钮,每按一次在示波器屏幕上或计数器上均能观测到单脉冲或双脉冲[将双脉冲信号发生器的种类开关置于“A+B”时,在频率计上读到的频率值是单脉冲(A或B)状态下的频率值的2倍]其按动次数不得少于10次. (二)工作特性的检定 16.脉冲重复频率〈周期〉的检定本规程对脉冲重复频率〈周期〉的检定,采用 数字频率计法和示波器法均可. 16.1数字频率计法 16.1.1检定连接线路如图1所示. 图1 注:本文凡标有*号者是表示匹配负载为500. 16.1.2将被检脉冲信号发生器的延迟时间置于最小,脉冲宽度于相应位置,被检 脉冲信号发生器的频率微调旋钮顺时针方向或逆时针方向旋到底. 16.1.3将数字频率计功能开关置于"测频"位置,调节数字频率计触发电平,使数 字频率计工作正常.将被检脉冲信号发生器的重复频率分别置于被检 文件位置,记录数字频率计所显示的频率值,此值即为被检脉冲信号发生 器重复频率的实际值.

c语言-时钟信号

中北大学 课程设计说明书 学生姓名:崔宝月学号:1305054215 学生姓名:南帅学号:1305054230 学院:信息与通信工程学院 专业:信息对抗技术专业 题目:软件信息处理课程设计 利用C语言,生成一个时钟信号 指导教师:姚金杰刘宾杨光 2016年 6月 26 日

中北大学 课程设计任务书 2015/2016 学年第二学期 学院:信息与通信工程学院 专业:信息对抗技术 学生姓名:崔宝月学号:1305054215 学生姓名:刘学文学号:1305054219 学生姓名:南帅学号:1305054230 学生姓名:田刚学号:1305054244 课程设计题目:软件信息处理课程设计 射频信号相位分析与测量软件模块设计起迄日期:2016年6月12 日~2015年6月27日课程设计地点:信息对抗技术专业综合实验室 指导教师:姚金杰刘宾杨光 学科部主任:张丕状

目录 一.设计目的简介与题目解读 (1) 1.1目的简介 (1) 1.2题目解读: (1) 二.设计主要理论介绍及方案 (1) 2.1理论介绍 (1) 2.1.1基本原理 (1) 2.1.2关键字的理解: (2) 2.2方案: (3) 2.2.1方案选择: (3) 2.2.2设计原理: (3) 三、设计主要步骤 (6) 3.1.创建客户端应用程序,采用对话框架构 (6) 3.2.为对话框界面添加控件对象 (8) 3.3为对话框中的控件对象定义相应的成员变量 (10) 3. 4添加响应消息的事件处理成员函数 (11) 3.5手工添加其他代码 (12) 3.6添加事件处理函数: (16) 3.7.根据实际结果调整控件的位置以防遮挡或被遮挡 (16) 四、设计结果与分析 (17) 4.1输出界面: (17) 4.2选择谐波次数为0 ,相位抖动参数为 0 (17) 4.3选择谐波次数为7 ,相位抖动参数为 1 (18) 4.4相位抖动参数为3 谐波次数为33 时: (19) 4.5相位抖动参数为5 谐波次数为55 时: (19) 五.设计评述及收获体会 (20) 1、设计评述 (20) 2、设计过程 (20) 3、收获体会: (20) 六.参考文献 (21)

脉冲频率的选择

脉宽调制(PWM:(Pulse Width Modulation)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。 简而言之,PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM 信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。 [编辑本段] 优点 PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。让信号保持为数字形式可将噪声影响降到最小。噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。 对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。从模拟信号转向PWM可以极大地延长通信距离。在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。 PWM控制技术一直是变频技术的核心技术之一。1964年A.Schonung和H.ste mmler首先提出把这项通讯技术应用到交流传动中,从此为交流传动的推广应用开辟了新的局面。 从最初采用模拟电路完成三角调制波和参考正弦波比较,产生正弦脉宽调制SP WM信号以控制功率器件的开关开始,到目前采用全数字化方案,完成优化的实时在线的PWM信号输出,可以说直到目前为止,PWM在各种应用场合仍在主导地位,并一直是人们研究的热点。 由于PWM可以同时实现变频变压反抑制谐波的特点。由此在交流传动及至其它能量变换系统中得到广泛应用。PWM控制技术大致可以为为三类,正弦PWM(包括电压,电流或磁通的正弦为目标的各种PWM方案,多重PWM也应归于此类),

脉冲分频信号产生器.

沈阳航空航天大学 课程设计 (说明书) 脉冲分频信号产生器设计 班级24020103 学号2012040201131 学生姓名郁健 指导教师关庆阳

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计____ 课程设计题目脉冲分频信号产生器 课程设计的内容及要求: 一、设计说明与技术指标 设计一个脉冲分频信号产生器,技术指标如下: ①能够输出1KHz脉冲信号; ②能够输出10KHz脉冲信号; ③能够输出100Hz脉冲信号; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 序号评定项目评分成绩 1 设计方案正确,具有可行性,创新性(15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2015 年01 月14日

一、概述 该脉冲分频信号产生器可以实现10KHZ 、1KHZ 、100HZ 三路频率输出,电路结构相对简单,输出频率相对稳定,且能够有效的实现频率间的转变,具有节能,经济,功能具备的特点。 二、方案论证 设计一个脉冲分频信号产生器,技术指标如下: ①能够输出1KHz 脉冲信号; ②能够输出10KHz 脉冲信号; ③能够输出100Hz 脉冲信号; 方案一: 方案一原理框图如图1所示。 降频 降频 图1 方案一脉冲分频电路的原理框图 方案二: 方案二原理框图如图2所示。 升频 降频 图2 方案二脉冲分频电路的原理框图 由555定时器组成的多谐振荡器产生频率为10KHZ 的脉冲信号 由74LS160组成的十分频电 路 由74LS160组成的十分频电路 输出 1KHZ 输出 100HZ 输出 10KHZ 由555定时器组成的多谐振 荡器产生频率 为1KHZ 的脉冲信号 锁相环升频 74LS160降频 输出10KHZ 输出100HZ 输出1KHZ

跨时钟域信号同步方法6种

跨时钟域信号同步方法6种 ASIC中心 1 引言 基于FPGA的数字系统设计中大都推荐采用同步时序的设计,也就是单时钟系统。但是实际的工程中,纯粹单时钟系统设计的情况很少,特别是设计模块与外围芯片的通信中,跨时钟域的情况经常不可避免。如果对跨时钟域带来的亚稳态、采样丢失、潜在逻辑错误等等一系列问题处理不当,将导致系统无法运行。本文总结出了几种同步策略来解决跨时钟域问题。 2 异步设计中的亚稳态 触发器是FPGA设计中最常用的基本器件。触发器工作过程中存在数据的建立(setup)和保持(hold)时间。对于使用上升沿触发的触发器来说,建立时间就是在时钟上升沿到来之前,触发器数据端数据保持稳定的最小时间。而保持时间是时钟上升沿到来之后,触发器数据端数据还应该继续保持稳定的最小时间。我们把这段时间成为setup-hold时间(如图1所示)。在这个时间参数内,输入信号在时钟的上升沿是不允许发生变化的。如果输入信号在这段时间内发生了变化,输出结果将是不可知的,即亚稳态 (Metastability) 图1 一个信号在过渡到另一个时钟域时,如果仅仅用一个触发器将其锁存,那么采样的结果将可能是亚稳态。这也就是信号在跨时钟域时应该注意的问题。如图2所示。 信号dat经过一个锁存器的输出数据为a_dat。用时钟b_clk进行采样的时候,如果a_dat正好在b_clk的setup-hold时间内发生变化,此时b_ dat 就既不是逻辑"1",也不是逻辑"0",而是处于中间状态。经过一段时间之后,有

可能回升到高电平,也有可能降低到低电平。输出信号处于中间状态到恢复为逻辑"1"或逻辑"0"的这段时间,我们称之为亚稳态时间。 触发器进入亚稳态的时间可以用参数MTBF(Mean Time Between Failures)来描述,MTBF即触发器采样失败的时间间隔,表示为: 其中fclock表示系统时钟频率,fdata代表异步输入信号的频率,tmet代表不会引起故障的最长亚稳态时间,C1和C2分别为与器件特性相关的常数。如果MTBF 很大,就认为这个设计在实际工作中是能够正常运行的,不会因为亚稳态导致整个系统的失效。当触发器处于亚稳态,且处于亚稳态的时间超过了一个时钟周期,这种不确定的状态还会影响到下一级的触发器,最终导致连锁反应,从而使整个系统功能失常。 3 同步策略 在异步设计中,完全避免亚稳态是不可能的。因此,设计的基本思路应该是:首先尽可能减少出现亚稳态的可能性,其次是尽可能减少出现亚稳态并给系统带来危害的可能性。以下是根据实际工作总结出来的几种同步策略。 3.1电平同步器 为了避免进入亚稳态,应当使参数MTBF尽可能大。通常采用的方法是双锁存器法,即在一个信号进入另一个时钟域之前,将该信号用两个锁存器连续锁存两次(如图3所示)。理论研究表明这种设计可以将出现亚稳态的几率降低到一个很小的程度,但这种方法同时带来了对输入信号的一级延时,需要在设计时钟的时候加以注意。 对于上面的双锁存器法,如果a_clk的频率比b_clk的频率高,将可能出现因为dat变化太快,而使b_clk无法采样的问题。即在信号从快时钟域向慢时钟域过渡的时候,如果信号变化太快,慢时钟将可能无法对该信号进行正确的采样,所以在使用双锁存器法的时候,应该使原始信号保持足够长的时间,以便另一个时钟域的锁存器可以正确地对其进行采样。 3.2 边沿同步器 如果我们需要用跳变沿而不是电平又该怎样处理呢,在电平同步器之后再

1HZ时钟信号源电路

1 设计要求 设计1Hz 的时钟信号源电路,要求要有稳定的频率,非线性失真小 2 设计方案与论证 2.1 设计分析 此电路分为两个部分,首先是产生时钟信号的部分,要求电路要有稳定而精确的频率,然后对电路进行分频,最后得到设计要求的1Hz 的时钟信号。 系统设计框图: 2.2 各模块方案选择和论证 2.2.1 时钟信号发生电路的选择 方案一: 用RC 环形多谐振荡器,如图2。它有两个暂稳态。设某时刻VE →VA ,因为电容上的电压不能突变,所以,然后,高电平经R 对电容C 充电,是逐渐升高,此时电路处于第一个暂稳态。当上升到时,门U3导通,,同时VD,然后随着电容C 经R 、门U2输出端放电,使逐渐降低,这时电路处于第二个暂稳态。当降到时,门U3截止,VE ,电路又开始重复第一个过程,并且不停的振荡,器输出脉冲周期T=2.2?R ? C 。 方案一图:

方案二: 555定时器是一种集模拟、数字于一体的中规模集成电路,用555可连接成时钟脉冲发生器。如图3,电容C被充电,当上升到时,使为低电平,同时放电三极管T导通,此时电容C通过和T放电,下降。当下降到时,翻转为高电平。当放电结束时,T截止,将通过、向电容器C充电。当上升到时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的振荡频率为: f== 方案二图: 方案三: 用石英晶体组成石英晶体振荡器,在电气上它可以等效成一个电容和一个电阻并联再串联一个电容的二端网络,这个网络有两个谐振点,以频率的高低分其中较低的频率是串联谐振,较高的频率是并联谐振。由于晶体自身的特性致使这两个频率的距离相当的接近,在这个极窄的频率围,晶振等效为一个电感,所以只要晶振的两端并联上合适的电容它就会组成并联谐振电路。这个并联谐振电路加到一个负反馈电路中就可以构成正弦波振荡电路。如图4,G1用于震荡;G2用于缓冲整形;R是反馈电阻,通常在几兆欧到几十兆欧间选取;R1起稳定振荡的作用,通常取十至几百欧之间;C1是频率微调电容,C2是温度特性校正用电容,C1,C2串联等与负载电容。它们与晶体共同构成反馈网络。电路的震荡频

准确测量脉冲信号的S参数(一)

准确测量脉冲信号的S参数(一) 传统上,矢量网络分析仪被用来测量元件的连续波形(CW)S参数性能。 在这些操作环境下,分析仪常常作为窄带测量仪器工作。它向元件传输已知的CW频率并测量CW频率响应。如果我们想查看单个CW频率的响应,我们可 以在频率看到单个的频谱。分析仪具有一个内置的源和接收器,它们被设计成 工作在同步模式下,利用窄带检测来测量元件的频率相应。大多数的分析仪可 以配置用来对许多频率进行频率扫描。在某些情况下,加到元件上的信号必须以一定的速度和持续时间进行脉冲调制(开关)。如果我们要查看一个单音脉 冲调制的频率响应,它将包含无数的频率成分从而使标准窄带VNA的使用变 得很困难。本文讲述了如何使用Agilent科技公司的PNA矢量网络分析仪进行 配置并获得准确测量脉冲信号的S参数。 ?为了查看一个脉冲调制信号的频率响应的频谱是什么样子,我们首先从数 学上分析时域响应。公式1给出了一个脉冲调制信号的时域关系。它的产生步 骤是首先建立一个用脉宽为PW的矩形窗加窗的信号。然后产生一个shah函数,这个函数包含一个间隔为1/PRF的周期脉冲序列,其中PRF是脉冲重复频率。这也同可以看作是间隔和脉冲周期相等的脉冲。而后加窗信号和shah函数卷积,产生一个和脉冲调制信号相应的周期脉冲串: ?为了查看这个信号在频域的样子,对脉冲调制信号y(t)进行傅立叶变换: ?式2表明脉冲调制信号的频谱是一个抽样的sinc函数,抽样点(信号呈现)和 脉冲重复频率(PRF)相等。 ?图1的左面给出在PRF为1.69kHz和脉冲宽度7μs情况下脉冲调制谱的样子。图1的右面给出在放大脉冲基调条件下同样的脉冲调制谱。频谱具有距 离基调nPRF的成分,其中n是谐波数。基音包含测量信息。PRF音是基音的

时钟信号设计

时钟信号设计 单板上时钟的注意事项,主要有以下几个方面可以考虑: 1.布局 a.时钟晶体和相关电路应布置在PCB的中央位置并且要有良好的地层,而不是靠近I/O接口处。不可将时钟产生电路做成子卡或者子板的形式,必须做在单独的时钟板上或者承载板上。如下图所示,绿色框中部分下一层最好不要走线 b.在PCB时钟电路区域只布与时钟电路有关的器件,避免布设其他电路,晶体附近或者下面不要布其他信号线:在时钟发生电路、晶体下使用地平面,若其他信号穿过该平面,违反了映像平面功能,如果让

信号穿越这个地平面的话,就会存在很小的地环路并影响地平面的连续性,这些地环路在高频时将会产生问题。 c.对于时钟晶体、时钟电路,可以采用屏蔽措施进行屏蔽处理; d.若时钟外壳为金属,则PCB设计时一定要在晶体下方铺铜,并保证此部分与完整的地平面有良好的电气连接(通过多孔接地)。 时钟晶体下面铺地的好处:晶体振荡器内部的电路会产生射频电流,如果晶体是金属外壳封装的,直流电源脚是直流电压参考和晶体内部射频电流回路参考的依靠,通过地平面释放外壳被射频辐射产生的瞬态电流。总之,金属外壳是一个单端天线,最近的映像层、地平面层有时两层或者更多层做为射频电流对地的辐射耦合作用是足够的。晶体下铺地对散热也是有好处的。 时钟电路和晶体下铺地将提供一个映像平面,可以降低对相关晶体和时钟电路产生共模电流,从而降低射频辐射,地平面对差模射频电流同样有吸收作用,这个平面必须通过多点连接到完整的地平面上,并要求通过多个过孔,这样可以提供低的阻抗,为增强这个地平面的效果,时钟发生电路应该与这个地平面靠近。 SMT封装的晶体将比金属外壳的晶体有更多的射频能量辐射:因为表贴晶体大多是塑料封装,晶体内部的射频电流会向空间辐射并耦合到其他器件。

关于差分时钟信号的使用(IBUFGDS)

关于差分时钟信号的使用(IBUFGDS)

一、问题 在使用差分信号时候,我们会遇到这种情况:外部输入直接是差分信号,但是我们在使用过程中需要的并不是差分信号(差分信号只是用来减少传输过程中的信号干扰,并不能直接用来使用),而是需要经过处理,生成正常的信号来进行使用的。特别是时钟信号,数据信号,以及相关的一些使能信号等。 这里只是针对于差分时钟用于作为全局时钟资源来使用的操作。 二、IBUFGDS语法 // IBUFGDS : In order to incorporate this function into the design, // Verilog : the following instance declaration needs to be placed // instance : in the body of the design code. The instance name // declaration : (IBUFGDS_inst) and/or the port declarations within the // code : parenthesis may be changed to properly reference and // : connect this function to the design. All inputs // : and outputs must be connected. // <-----Cut code below this line----> // IBUFGDS: Differential Global Clock Input Buffer // Spartan-3 // Xilinx HDL Language Template, version 14.1 IBUFGDS #( .IBUF_DELAY_VALUE("0"), // Specify the amount of added input delay for // the buffer, "0"-"16" (Spartan-3A) .DIFF_TERM("FALSE"), // Differential Termination .IOSTANDARD("DEFAULT") // Specify the input I/O standard ) IBUFGDS_inst ( .O(O), // Clock buffer output .I(I), // Diff_p clock buffer input (connect directly to top-level port) .IB(IB) // Diff_n clock buffer input (connect directly to top-level port) ); 上面的语法是采用的Spartan-3的关于IBUFGDS的语法操作。 三、使用注意 在使用过程中需要注意的是:I端口接的是差分信号的正端(P端)!IB端口接的是差分信号的负端(n端)。

时钟信号源

时钟信号源 时钟器件 今天的时钟器件多种多样。下面阐述其中的几种。 晶体 晶体是一种基本的压电石英晶体。它本身是不能产生时钟信号的。它必须和时钟振荡器连接在一起才能得到时钟波形。晶体有两种:串联谐振晶体(可视做高品质因数的串联LC电路)和并联谐振晶体(可视做高品质因数的并联LC电路)。谐振晶体在谐振频率点的阻抗最小,而并联谐振晶体在谐振频率点的阻抗最大。 晶体振荡器 晶体振荡器是一种用晶体做反馈元件的振荡器。而其他类型的振荡器采用有源、无源元件作为反馈元件,但晶体振荡器的输出频率最为精确和稳定。晶体振荡器是多数高速数字系统时钟源的首选。 补偿振荡器 随着温度和电压的变化,晶体振荡器的输出频率也会发生变化。在需要高稳定度时钟的应用中,人们通常使用补偿振荡器。补偿振荡器试图调整电压和温度引起的频率变化。温度补偿振荡器包含了用于补偿温度变化的电路,从而防止频率的变化。恒温控制振荡器将将晶体放置在一个温控恒温箱中,这样保持晶体工作在一个精确的温度下。双恒温箱振荡器含两个恒温箱,晶体在内层恒温箱中,而控制电路和内层恒温箱又包含在外层恒温箱中。双恒温箱振荡器比恒温控制振荡器的温度稳定性更好。显然,随着温度稳定性的提高,振荡器的成本也提高了。 压控振荡器 压控振荡器的输出受输入电压引脚的控制。在整个频率范围,控制电压和输出频率的关系是非线性的,但是在部分频率范围内是线性的。 频率合成器 通过使用一个或多个锁相环,频率合成器从一个或多个参考时钟源产生一个或多个不同的输出频率。参考频率通常是由连接到合成上的晶体产生的。设计频率合成器的目的是用以替代系统中的多个振荡器,从而减少电路板空间、降低系统成本。 锁相环有两个输入,一个参考输入和一个反馈输入。锁相环用两种方法校正频率。频率校正先对参考输入和反馈输入间的大频差进行校正。频率校正相当于“粗调”;当压控振荡器的频率低于参考频率的一半或高于参考频率的两倍时,要进行相位校正。当压控振荡器的频率在参考频率的一半和两倍之间时,要进行相位校正;相位校正是“微调”。 相位/频率检测器检测参考输入和反馈输入之间的频率差和相位差,并依据反馈频率超前还是滞后于参考频率分别产生于补偿的“Up”信号和“Down”。然后,这些控制信号通过一个电荷泵和一个环路滤波器产生控制压控振荡器的控制电压。振荡器的频率取决于控制电压信号。压控振荡器的稳态频率为Fvco=Fref.P/Q。锁相环的输出频率可以表示为Fout=(Fref.P)/(Q.N)。 频率合成器的采样率决定了为进行相位和频率校正而对输入信号采样的频率。其表达式为Fref/Q. 基于锁相环的频率合成器的采集/锁定时间是频率合成器在加电后(或在可编程输出频率发生改变之后)达到目标频率所用时间。 基于锁相环的频率合成器的死区是指无法被锁相环校正的参考输入和反馈输入之间的最大相位差。 产生多个不相关频率的频率合成器需要使用多个锁相环。随着系统复杂性的提高和系统中多个时钟的使用,频率合成器应用的越来越普遍。“时钟信号产生器”和“频率合成器”这两

基站时钟信号源详谈

基站时钟信号源详谈 一、基站时钟的信号源 1、GPS卫星信号源 在现今的基站时钟行业里,GPS是所有基站时钟信号源的首选。在技术方面,GPS卫星无疑是最为成熟的。但是,GPS毕竟属于国外技术。在某种程度上来讲,存在着一定的安全隐患。 同时,国家相关部门出台的《专项规划》中明确规定,到2020年,国家电力系统、广电、铁路列车调度等关键领域,授时方面北斗应用率达需要达到全面覆盖。 所以,在选择GPS卫星信号源时需要考虑到以上因素。 1.2、北斗卫星信号源 我国的北斗二代卫星系统的主要功能就是定位和授时,其授时同步精度可达到数十纳秒,定位精度和GPS不相上下。 北斗卫星系统是我国建设发展的重要核心设施之一。它的快速发展会给通信、电力网、武器实(试)验等关键领域的现代化建设给与很大的支持,也会给交通运输系统的智能化发展提供了强有力的后盾。 1.3其他时间信号源 除了常用到的GPS卫星信号源和北斗卫星信号源以外,有些用户还会在基站时钟内用到NTP授时、脉冲、B码等。下面分别作以简单介绍: NTP授时其本身也算是一种常用的授时方式。在NTP协议基础上给需要授时的网络设备传递统一、标准的时间。在网络上指定网站,提供授时服务。 因为没有硬件支持,所以NTP授时精度只能到毫秒级。对精度要求不是特别高的行业,均可采用这种授时方式。

脉冲信号因为自身不含有年月日时分秒信息,所以只用于时刻校准,可作为被授时设备的时标信号。 脉冲信号的授时精度为纳秒级,具有周期性,间隔一个周期输出一次脉冲信号。常见有秒脉冲(1PPS)、分脉冲(1PPM)和时脉冲(1PPH)。 B码,即IRIG-B码,是美国负责制订靶场标准等工作的机构IRIG制定的一种时间码型标准,目前已被广泛应用。 B码可分为交流B码和直流B码,均可携带时间报文、时标信号和载波。B码内既包含年月日时分秒信息,又可用脉冲沿反映时刻信息,交流B码的授时精度为为微秒级,直流B 码授时精度为纳秒级。 在选择基站时钟信号源的时候,标准产品建议选择双保险混合信号模式的基站时钟,常见的有GPS和北斗双模。 毕竟以目前的技术层面来看,GPS卫星收星快,颗数多,它的技术相对还是比较成熟的。但是从长远发展来看,北斗卫星在国家政策的支持下发展迅速,实力也会稳步上升。 二、基站时钟的对时方式及常见问题 基站时钟设备的对时方式常用的有网络对时(NTP/SNTP协议)和串口对时。 先说说网络对时的步骤。首先,确保天线安装正确通地处理没有问题。其次,接一根网线从设备网口处连接交换机。双击电脑的右下角时间选择internet时间,更改IP。服务器IP设置好后点击立即更新即可。 基站时钟的串口对时相对较为简单,只需要下载串口校时软件然后填写相关信息进行校时即可。 1、如何鉴定天线是否能正常工作 将天线白色蘑菇头放在室外,连接至设备后端天线接口处,开机。当屏幕显示由V变

相关主题