搜档网
当前位置:搜档网 › EDA技术实验教案

EDA技术实验教案

EDA技术实验教案
EDA技术实验教案

课程教案

课程名称:EDA技术实验

任课教师:王小虎

所属院部:电气与信息工程学院

教学班级:自本1201-02

教学时间:2014—2015学年第二学期

湖南工学院课程基本信息

P

1

实验一原理图的绘制

一、本次课主要内容

绘制一张完整的电源电路原理图.

1.绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。

2.对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,

存盘.

2.对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出

3.根据以上的实验内容写出实验报告,并将绘制好的完整电源电路图打印出来

贴在报告中,分析实验过程中遇到的问题,总结用到的知识点。

二、教学目的与要求

1.熟练掌握PROTEL99的基本操作。

2.学会绘制电路原理图。

3.掌握电路图的ERC校验、电路错误修改和网络表的生成

三、教学重点难点

重点:调用元件;创建原理图元件库;网络标号。

难点:原理图元件库的创建

四、教学方法和手段

采用课堂讲授大概20分钟时间,对原理图绘制的方法与技巧运用多媒体进行演

示、制作教学幻灯片。

五、作业与习题布置

写出完整的实验报告,并回答下面问题。

1、为什么要给元器件定义封装形式?是否所有原理图中的元器件都要定义封装

形式?

2、放置元器件时系统提示没有打开元器件库,应如何解决?

3、使用网络标号时应注意哪些问题?

4、总线和一般连线有何区别?使用中应注意哪些问题?

P

2

实验一原理图的绘制

一、实验目的

(1)熟练掌握PROTEL99的基本操作。

(2)学会绘制电路原理图。

(3)掌握电路图的ERC校验、电路错误修改和网络表的生成。

二、实验内容与步骤

(1)新建文档,设置参数的基本操作。进入ADV ANCED SCHEMATIC,新建一张原理图,并设置它的工作空间参数和文档参数。其中,电路图大小设置为A4,

横向放置,标题栏选择标准标题栏,栅格大小均选为20mil。

(2)装入元器件库。执行相关命令,

(3)放置元器件。按照如图1-1所示,从元器件库中放置相应的元器件到电路图中,并对元器件做移动,旋转等操作,同时进行属性设置。各元器件的元器

件标号及标称值均采用小四号宋体,完成后将文件存盘。

(4)全局修改。利用SCH的全局修改功能,将图1-1中电阻的标号和标称值均由小四号宋体改为五号黑体,并将电阻的编号R*由大写改为小写r*,完成后将

文件改名存盘。

(5)绘制电源电路图。按照如1-1所示,绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。

(6)对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,存盘

(7)对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出

(1)为什么要给元器件定义封装形式?是否所有原理图中的元器件都要定义封装形式?

(2)放置元器件时系统提示没有打开元器件库,应如何解决?

(3)使用网络标号时应注意哪些问题?

(4)总线和一般连线有何区别?使用中应注意哪些问题?

P

4 教学后记:

P

5

实验二单面板的制作

一、本次课主要内容

1. 导入实验一中己完成的电源电路的原理图.设置好相关的参数,绘制好电源电

路PCB图.将文件存盘退出.

2.将绘制好的PCB图打印出来贴在报告中.

3. 制作电源实物

二、教学目的与要求

1.熟练掌握PCB 的基本操作。

2.基本掌握PCB元器件库的编辑方法。

3.掌握单面板的制作。

三、教学重点难点

重点:图纸参数设置;调用PCB元件;创建PCB元件库;元件布局与排列;网络

走线.

难点:元件布局与走线

注意:单面板走线不能有交叉,布线前元件布局时尽量不能有飞线交叉

四、教学方法和手段

采用课堂讲授(大概20分钟时间),对PCB板图绘制的方法与技巧运用多媒体进

行演示、并制作教学幻灯片,并制作教学幻灯片。其余时间指导学生上机操作,并解答

学生提问.

五、作业与习题布置

回答下面问题:

1.简述自动布局的步骤。

2.自动布线前,要进行哪些设置?

3.何种类型的电路,在设计印制板时要使用铺铜?

写出完整的实验报告:

要求制作直流稳压电源系统实物,能输出+5V、-5V、+12V、-12V、+3.3V

P

6

实验二单面板的制作

一、实验目的

(1)熟练掌握PCB 的基本操作。

(2)基本掌握PCB元器件库的编辑方法。

(3)掌握单面板的制作。

(4) 绘制出电源PCB图(单面板)

二、实验内容

(1) 进入SCH ,打开在实验一中己完成的电源电路的原理图(图1-1),设置好电路图

中各元器件的封装,执行相关菜单命令,生成此电路图的网络表。

(2) 新建一个PCB文件,打开标准元器件库,设置好工作空间参数和文档参数,其中信

号层选择底层,将此文件更名为dydl.PCB保存.

(3) 在禁止布线层上绘制电路版图的边框,给边框加上尺寸标注.

(4) 调入电源电路的网络表,若网络表中存在错误,则加以修改,完全正确后,按下EXECUTE按钮确定.

(5) 通过自动布局以及人工调整的方法,合理布局元器件,布局调整时应尽量减少飞

线交叉。

(6) 设置设计规则,其中,电源以及接地线要求的铜膜线宽最小为30mil,最大为40mil,

其余的线宽均为10mil.

(7) 执行手动布线,并参考自动布线。

(8) 在PCB 中,生成此电路板图的网络表,重新回到SCH 中,与原理图的网络表

进行比较,若发现不符,寻找原因,加以改正。

(9) 进行DRC检查,生成报告文件,若有错误,则加以修改。

(10)给电路板图加上铺铜,铺铜与地相连,并且去除死铜,最后完成电源电路印制电

路图,将文件存盘退出.

(11)打印电路图

三、思考题

(1)简述自动布局的步骤。

(2)自动布线前,要进行哪些设置?

(3)何种类型的电路,在设计印制板时要使用铺铜?

四、作业要求:

要求制作直流稳压电源系统实物,能输出+5V、-5V、+12V、-12V、+3.3V

P

7 要求在实物上敷铜表示出班级、姓名、学号、制作日期(没有者不计成绩)。

表1.1 电路板所需要的器材

表1.2 电源元器件清单

P

8

P

9 教学后记:

P

10

实验三有时钟使能的两位十进制计数器原理图输入设计

一、本次课主要内容

设计一个有时钟使能的两位十进制计数器,在Quartus II软件平台上绘制出完的

电路原理图,并进行编译,仿真,测试。

二、教学目的与要求

1.掌握带有时钟输入的数字电路原理图输入设计方法;

2.进一步掌握时序波形的真;

3.了解VHDL初步的基本知识。

4..根据以上的实验内容写出实验报告,并将仿真波形图打印出来贴在报告中,

分析实验过程中遇到的问题,总结用到的知识点

三、教学重点难点

重点: Quartus II使用,文件命名与保存, 调元件库,网络标号,总线设置,波形仿真

难点: 波形仿真,总线设置,

四、教学方法和手段

采用课堂讲授(大概20分钟时间),对Quartus II软件的使用方法运用多媒体进

行演示、并制作教学幻灯片。其余时间指导学生上机操作,并解答学生提问.

实验设备:EDA系统箱一台,装有PROTEL软件的计算机一台,打印机一台

五、作业与习题布置

1、对仿真波形结果进行分析。

2、用VHDL编写有时钟输入的两位十进制计数器源程序。

3、写出完整的实验报告

11

P 图3-2 调出元件

74390

图3-3 从Help 中了解74390的详细功能

实验三 有时钟使能的两位十进制计数器原理图输入设计

一.实验目的

掌握带有时钟输入的数字电路原理图输入设计方法; 进一步掌握时序波形的真; 了解VHDL 初步的基本知识。 二.实验原理与步骤

1.实验步骤见第五章第一节介绍的方法。

2.电路设计原理:频率计设计的基本步骤与上一个实验介绍的完全一样,只是需要考虑从哪一个电路模块开始。

图3-1 用74390设计一个有时钟使能的两位十进制计数器原理

1、设计电路原理图,频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。为此这里拟用一个双十进制计数74390和其它一些辅助元件来完成。电路

P

12 原理图如图2-1所示。图中,74390连接成两个独立的十进制计数器,待测频率信号

clk通过一个与门进入74390的计数器1的时钟输入端1CLKA,与门的另一端由计数

使能信号enb控制:当enb = '1' 时允许计数;enb = '0' 时禁止计数。计数器1的4位

输出q[3]、q[2]、q[1]和q[0]并成总线表达方式即q[3..0],由图2-1左下角的OUTPUT

输出端口向外输出计数值,同时由一个4输入与门和两个反相器构成进位信号进入第

2个计数器的时钟输入端2CLKA。

第2个计数器的4位计数输出是q[7]、q[6]、q[5]和q[4],总线输出信号是q[7..4]。这

两个计数器的总的进位信号,即可用于扩展输出的进位信号由一个6输入与门和两个

反相器产生,由cout输出。clr是计数器的清零信号。

2、计数器电路实现,在此首先从实现图3-1所示的电路的绘制和测试开始,用

鼠标双击“Enter Symbol”窗中Symbol Libraries栏的e:\maxplus2\max2lib\mf的宏功能

元件库,于是可以在Symbol Files栏中看到绝大多数74系列的元件(图3-2)。这些

器件的详细功能及其它们的逻辑真值表可以通过查阅“Help”选项来获得。为了查阅74390的功能,可如图3-3所示,在Help菜单中选Old-Style Macrofunctions项,然后

选Counters项。

图3-4 两位十进制计数器工作波形

向原理图编辑窗中调入宏功能元件如图3-2所示,直接在上端的Symbol Name

栏中键入器件的名称,如74390等,然后点击OK键即可。如果要了解74390内部的

情况,可以用鼠标在其上双击。最后根据图2-1在原理图编辑窗中完成该电路的全部

绘制。绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式:若将一根细线变成以粗线显示的总线,可以先将其点击使其变成红色,再选Option选项中的Line Style ;若在某线上加信号标号,也应该在该线某处点击使其变

成红色,然后键入标号名称,标有相同标号的线段可视作连接线段,但可不必直接连

接。对于以标号方式进行总线连接可以如图3-1那样。例如一根8位的总线bus1(7..0)

P

13 欲与另3根分别为1、3、4位的连线相接,它们的标号可分别表示为bus1(0),bus1(3..1),

bus1(7..4)。

3、波形仿真,按照第一章介绍的流程能够很容易地得到图2-1电路的仿真波形(图

3-4)。由波形图3-4可见,图2-1电路的功能完全符合原设计要求:当clk输入时钟

信号时,clr信号具有清0功能,当enb为高电平时允许计数,低电平时禁止计数;

当低4位计数器计到9的向高4位计数器进位,另外由于图3-4中没有显示高4位计

数器计到9,故看不到count的进位信号。

如果本设计电路的存盘文件名为conter8.gdf ,则按照步骤5的第9段介绍的方

法,将此项设计包装成一个元件存入库中以备后用,该电路对应的元件名是conter8 。

三、实验注意事项

1、输入文件名不能用汉字或关键字、非法字符;

2、注意文件在编译连接时的路径;

3.注意引脚分配与对应的FPGA芯片相匹配;

4.注意信号标号与总路线的表达方式。

四、实验设备

EDA系统一套,计算机一台,打印机一台

五、实验思考

1.用VHDL编写有时钟输入的两位十进制计数器源程序。

2.对仿真波形结果进行分析。

P

14 教学后记:

P

15

实验四7段数码显示译码器的设计

一、本次课主要内容

设计一个7段数码显示译码器,能够显示0-9,A-F数字。

1.编写7段数码显示译码器的VHDL源程序;

2.在Quartus II上进行编译、综合、适配、仿真测试,引脚锁定、下载测试。

二、教学目的与要求

1.学习7段数码显示译码器的设计方法;

2.掌握多层次的VHDL程序设计方法。

三、教学重点难点

重点: Quartus II使用,文件命名与保存,波形仿真,配置器件的选择与设置

难点: 配置器件的选择与设置,波形仿真,。

四、教学方法和手段

采用课堂讲授(大概20分钟时间),对QUARTUSII软件的使用方法运用多媒体

进行演示、并制作教学幻灯片。其余时间指导学生上机操作,并解答学生提问.

五、作业与习题布置

写出完整的设计性实验报告。

实验报告内容包括:程序设计、软件编译、仿真分析、硬件测试和详细实验过

程;硬件测试分析报告、仿真波形图及其分析报告。

讨论语句WHEN OTHERS=>NULL的作用。对于不同的VHDL综合器,此句

是否具有相同含义和功能?

P

16

实验四7段数码显示译码器的设计

一、设计目的

1.学习7段数码显示译码器的设计方法;

2.掌握多层次的VHDL程序设计方法。

二、设计要求

1.编写7段数码显示译码器的VHDL源程序;

2.在Quartus II上进行编译、综合、适配、引脚锁定、下载测试;

3.进行仿真波形的测试;

4.写出设计性实验报告。

三、设计原理说明

1、实验原理说明:7段数码是纯组合电路,通常的小规模专用IC,如74或4000

系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2

进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的

方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一

目的。例6-21作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图

6-21数码管的7个段,高位在左,低位在右。例如当LED7S输出为"1101101" 时,

数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平

的段发亮,于是数码管显示“5”。

2、引脚锁定以及硬件下载测试提示:建议选实验电路模式6,用数码8显示译

码输出(PIO46--PIO40),键8、键7、键6、键5四位控制输入,硬件验证译码器的

工作性能。

四、实验设备

EDA系统一套,计算机一台,打印机一台

五、实验报告要求

根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬

件测试和详细实验过程;设计原程序,程序分析报告、仿真波形图及其分析报告。

六、实验思考和总结

1.讨论语句WHEN OTHERS=>NULL的作用。对于不同的VHDL综合器,此句

是否具有相同含义和功能?

2.用VHDL例化语句(参考实验2)按图3-25的方式,以本章第一节实验三和

本节实验一为底层元件,完成顶层文件设计,并重复以上实验过程。注意图3-25中

P

17 的tmp是4位总线,led是7位总线。对于引脚锁定和实验,建议仍选实验电路模式

6,用数码8显示译码输出,用键3作为时钟输入(每按2次键为1个时钟脉冲),

或直接时钟信号clock0。

图4-1 计数器和译码器连接电路的顶层文件原理图

3.对实验思考2进行分析和总结。

P

18 教学后记:

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

(整理)eda技术教案.

EDA技术教案 第一次课 内容: 1)介绍EDA技术的涵义、发展历程和应用领域; 2)介绍EDA技术的主要内容; 3)介绍EDA的工程设计流程; 4)说明本课程的特点与学习方法。 教学目的: 1)通过介绍EDA技术的涵义、发展历程和应用领域,使学生了解本课程的实 际应用很大,调动学生学习这门课程的积极性 2)通过介绍EDA技术的主要内容,使学生了解这门课程要学习什么。在此基 础上说明本课程的特点与学习方法。 3)说明各种通信系统的组成,了解它们的优缺点,出现背景。重点说明数字通 信系统的特定和优点。 4)介绍EDA的工程设计流程,说明当前EDA设计的特点,用软件方式设计 硬件,用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成 的,因此类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。 教学重点、难点: 1)EDA技术的三个发展阶段以及各阶段的特点; 2)EDA的定义和EDA技术的主要内容; 3)EDA的工程设计流程。 教学方法: 比较、举例、图解。 教学过程: (一)自我介绍,说明课时安排、成绩评定方法、课程定位、教学网站的进入。 (二)讲授新课 课堂教学实施过程共分六步。

1)介绍EDA技术的涵义。 2)说明EDA技术的发展背景,说明EDA技术的三个发展阶段,比较三个阶 段的各解决了什么问题,在此基础上理解各阶段的特点。 3)在第二步理解EDA技术进行电子系统设计的特点的基础上引出并详细说明 EDA的定义,加深对EDA技术的涵义的理解。 4)在第三步详细说明EDA的定义的基础上,引出EDA技术的4个主要内容: 硬件描述语言:设计的主要表达手段;大规模可编程逻辑器件:设计的载体; 软件开发工具:设计的工具;实验开发系统:下载工具及硬件验证工具。再分别介绍EDA技术的4个主要内容:了解常用的硬件描述语言VHDL和Verilog;了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们各自的特点;了解主流EDA工具软件;了解本课程使用的西安唐都公司的TD-EAD实验系统 5)说明课程要求:通过学习这门课程要掌握运用EDA开发工具设计开发电子 系统,引出这门课程的特点:实践性强,说明我们的学习方法:抓住一个重点:VHDL的编程;掌握两个工具:Quartus II 和TD-EAD实验系统;运用三种手段:通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学。 6)用设计一个简单电子系统为例,引出EDA的工程设计流程。说明当前EDA 技术发展的特点:用软件方式设计硬件;用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成的;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。现代EDA设计类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。同时这里又回顾复习了使用EDA技术进行电子系统设计的特点。最后图解说明EDA的工程设计流程。

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

EDA技术实验教案

课程教案 课程名称:EDA技术实验 任课教师:王小虎 所属院部:电气与信息工程学院 教学班级:自本1201-02 教学时间:2014—2015学年第二学期

湖南工学院课程基本信息

P 1 实验一原理图的绘制 一、本次课主要内容 绘制一张完整的电源电路原理图. 1.绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 2.对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后, 存盘. 2.对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出 3.根据以上的实验内容写出实验报告,并将绘制好的完整电源电路图打印出来 贴在报告中,分析实验过程中遇到的问题,总结用到的知识点。 二、教学目的与要求 1.熟练掌握PROTEL99的基本操作。 2.学会绘制电路原理图。 3.掌握电路图的ERC校验、电路错误修改和网络表的生成 三、教学重点难点 重点:调用元件;创建原理图元件库;网络标号。 难点:原理图元件库的创建 四、教学方法和手段 采用课堂讲授大概20分钟时间,对原理图绘制的方法与技巧运用多媒体进行演 示、制作教学幻灯片。 五、作业与习题布置 写出完整的实验报告,并回答下面问题。 1、为什么要给元器件定义封装形式?是否所有原理图中的元器件都要定义封装 形式? 2、放置元器件时系统提示没有打开元器件库,应如何解决? 3、使用网络标号时应注意哪些问题? 4、总线和一般连线有何区别?使用中应注意哪些问题?

P 2 实验一原理图的绘制 一、实验目的 (1)熟练掌握PROTEL99的基本操作。 (2)学会绘制电路原理图。 (3)掌握电路图的ERC校验、电路错误修改和网络表的生成。 二、实验内容与步骤 (1)新建文档,设置参数的基本操作。进入ADV ANCED SCHEMATIC,新建一张原理图,并设置它的工作空间参数和文档参数。其中,电路图大小设置为A4, 横向放置,标题栏选择标准标题栏,栅格大小均选为20mil。 (2)装入元器件库。执行相关命令, (3)放置元器件。按照如图1-1所示,从元器件库中放置相应的元器件到电路图中,并对元器件做移动,旋转等操作,同时进行属性设置。各元器件的元器 件标号及标称值均采用小四号宋体,完成后将文件存盘。 (4)全局修改。利用SCH的全局修改功能,将图1-1中电阻的标号和标称值均由小四号宋体改为五号黑体,并将电阻的编号R*由大写改为小写r*,完成后将 文件改名存盘。 (5)绘制电源电路图。按照如1-1所示,绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 (6)对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,存盘 (7)对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出

可编程逻辑器件与EDA技术实验教案

PLD实验教案电信学院通信系

序言 PLD实验是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。本实验课与理论课同时进行,与理论课有着较密切的联系。因此同学们在做本实验之前必须具备以下的基础知识: 1、数字电路的基础知识; 2、电子计算机常用操作系统的使用方法; 3、一定的英语基础; 4、必须有一定的理论知识做基础,与理论课同时进行。 PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。从70年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。所以同学们学习本课程有着非常重要的意义。 本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面: 首先:实验方法不同 本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。其流程图如下: 其次:实验手段不同 本实验是利用ISP技术、采用EDA工具、应用PLD器件,在PC平台上进行的。第三、本实验课的目的 学生学习完本实验课后,应达到如下的要求: 1、能熟练使用本实验的配套EDA软件Mux+plusⅡ; 2、掌握PLD芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字 系统设计; 3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑; 4、具备基本的开发能力,为后续学习打下坚实的基础。

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术教学大纲

《EDA技术》课程教学大纲 学分:4 学时:64(讲课:32学时;实验:32学时) 适用专业:电子设备与运行管理、电子信息工程、通信工程。 教材:《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 开课院系:电子与信息技术系 第一章绪论 内容:EDA技术简介 重点:EDA的含义、范畴及发展现状 教学要求:对EDA具有初步了解 第二章EWB 内容:EWB软件的使用 重点:EWB的基本操作,EWB仪器、仪表的使用与电路设计,电路仿真常用分析方法 难点:电路仿真常用基本方法 实验:EWB的基本操作 教学要求:学会使用EWB电路仿真软件,初步能利用仿真软件对电路进行分析 第三章Protel 内容:原理图的绘制,PCB的设计 重点:SCH原理图和PCB电路板图的绘制 难点:PCB的设计 实验:原理图的绘制及PCB的设计 教学要求:掌握电路原理图的设计方法,学会使用Protel来设计PCB电路板,主要是掌握PCB的设计规则 第四章VHDL 内容:学会使用MAXPLUS II软件,了解VHDL编程语言,能进行至少一个电子技术综合问题的设计 重点:MAXPLUS II的使用,VHDL编程语言 难点:VHDL编程语言 实验:VHDL语言,电子技术综合实验 三、建议教材与参考书 1、《EDA技术基础》郭勇、许戈、刘豫东编,机械工业出版社,2001年7月 2、《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 四、说明 1、本课程的教学需由熟悉电子技术、EDA技术的教师担任,以满足理论和实践教学的

需要 2、本课程开设之前应开设《电子技术》课程。 3、本课程的教学理论教学和实践教学并重。 4、本课程的重点是使学员掌握常用的EDA软件及简单的应用系统开发 5、本教学大纲也适用于机电工程及自动化、机电一体化、工业自动化等专业。

EDA技术课程设计报告

课程设计任务书 设计题目1:数码管显示数字钟设计 学生姓名 课程名称EDA技术课程设计专业班级 地点起止时间2017年6月19日— 6月23日 设 计 内 容 硬件设计及样品制作 设计参数1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 设计进度1.2017年6月19日—20日查阅资料,确定设计方案 2.2017年6月21日—22日程序设计和硬件调试 3.2017年6月23日撰写课程设计报告,答辩 设计成果1. 设计说明书一份(不少于2000字); 2. 样品一套。 参考资料1.周润景等,基于Quartus II的FPGA/CPLD数字系统设计实例,电子工业 出版社,2010 2.夏宇闻,Verilog数字系统设计教程(第二版),北京航空航天大学出版 社,2014 说明1.本表应在每次实施前由指导教师填写一式2份,审批后所在系(部)和指导教师各留1份。2.多名学生共用一题的,在设计内容、参数、要求等方面应有所区别。3.若填写内容较多可另纸附后。 院(系)分管领导:教研室主任:指导教师: 2017年 6月 23日

EDA技术课程设计报告 目录 第1章引言 (1) 第2章电路原理 (2) 第3章程序设计 (3) 3.1 顶层模块设计 (3) 3.2 时钟分频模块设计 (4) 3.3 按键驱动模块设计 (4) 3.4 时钟计数模块设计 (4) 3.5 整点报时模块 (5) 3.6 LED灯花样显示模块 (7) 3.7 数码管显示模块设计 (7) 第4章调试、测试分析及结果 (10) 4.1 调试 (10) 4.2 测试分析 (10) 4.3 结果 (12) 第5章小结 (13) 参考文献 (14) 附录电路图及程序 (15)

EDA技术--教学大纲

《EDA技术与HDL语言》课程教学大纲 一、《EDA技术与HDL语言》课程说明 (一)课程代码:0711018 (二)课程英文名称:EDA technology and HDL language (三)开课对象:电子信息工程技术专业学生 (四)课程性质: 本课程是一门专业主干课程,是数字电子技术的一门后续课程;本课程的目的是让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力;本课程的先修课程为:模拟电子技术、数字电子技术、单片机原理及其接口技术。 (五)教学目的: 本课程让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力。 (六)教学内容: 本课程主要介绍PLD器件的基本结构、工作原理、PLD的种类;HDL语言相关语法、设计方法以及调试软件QUARTUSⅡ的使用方法。 (七)学时数、学分数及学时数具体分配 学时数:72学时 学分数:4学分 (八)教学方式 以多媒体技术为主要手段的理论教学及实践教学 (九)考核方式和成绩记载说明 考核方式为考试。严格考核学生出勤情况,达到学籍管理规定的旷课量取消考试资格。综合成绩根据平时成绩、实验成绩、期末成绩评定,平时成绩占20%,实验成绩10%,期末成绩占70% 。

二、讲授大纲与各章的基本要求 第1章概述 教学要点: 通过本章的学习,使学生初步了解EDA的基本知识、常用的EDA基本工具使用方法和目标器件的结构原理。 教学时数:4学时 教学内容: 第一节 EDA技术 第二节 EDA技术应用对象 第三节 VHDL 第四节 EDA的优势 第五节面向FPGA的EDA开发流程 一设计输入 二综合 三适配(布线布局) 四仿真 第六节 PLD 一 PLD的分类 二 PROM可编程原理 三 GAL 第七节 CPLD的结构与可编程原理 第八节 FPGA的结构与工作原理 一查找表逻辑结构 二 FPGA的结构与原理 第九节硬件测试技术 一内部逻辑测试 二 JTAG边界扫描测试 第十节 FPGA/CPLD产品概述 一 Altera公司FPGA和CPLD器件系列 二 Lattice公司CPLD器件系列 三 Xilinx公司FPGA和CPID器件系列 第十一节编程与配置 第十二节 FPGA配置器件 第十三节 Quartus II

EDA技术课程设计题目与任务

五课程设计题目与内容 1. 电子秒表设计 内容及要求: 完成具有多计数功能的秒表,并可将结果逐一显示在7 段数码管上,具体要求如下: (1)输入时钟10khz ,采用Altera EP1C6Q240C8 FPGA ; (2)异步、同步复位,计时精度1ms,最大计时240秒; (3)至少对 6 个目标计时,并可显示于7 段数码管,格式为xxx.yyy ,秒为单位; ( 4)计时值可逐一顺序回显; ( 5)按下一次终止键完成一个对象的计时,计时间隔小于最大计时值; ( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。 进度安排: 本设计持续10天,其中最后一天(依例周五)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现

2. aval on 总线从接口设计 内容及要求: 实现Altera 的NiosII CPU 外部总线接口电路,完成存储器的读写操作。 (1) CPU采用Altera Nios n; ( 2)接口电路采用同步操作,注意时钟的选择; ( 3)寻址空间0x8000~0x8ffff ,数据总线宽度8 比特; ( 4)存储器的种类为寄存器即可,具有读写功能; ( 5) avalon 总线接口为slave ; (6)下载验证时要和CPU一同实现相应的功能,软件进行读写操作。 进度安排: 本课程设计持续10 天,其中最后一天( (依例周5)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真; 第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限 2 人:共同进行电路和验证方案设计, 1 人逻辑电路设计与仿真,另1人系统集成及软件代码设计

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

EDA技术课程设计之六位频率计的设计

目录 一概述............................................................................................................................................. - 1 - (一)设计背景及意义 (1) (二)设计任务与要求 (1) 二六位频率计的工作原理............................................................................................................. - 1 -三六位频率计的设计与仿真......................................................................................................... - 2 - (一)六位十进制频率计的设计与仿真 (2) (二)六位十六进制频率计的设计与仿真 (5) 四调试过程、测试结果及分析 ..................................................................................................... - 8 - (一)六位十进制频率计的测试结果与分析 (8) (二)六位十进制频率计扩展功能的测试结果与分析 (9) (三)六位十六进制频率计的测试结果与分析 (10) 五课程设计体会........................................................................................................................... - 11 -六参考文献................................................................................................................................... - 11 -

EDA技术课程设计任务书2015

课程设计(论文)任务书 电气与电子工程学院电子信息工程专业2013- 2班 一、课程设计(论文)题目数字频率计 二、课程设计(论文)工作自 2015 年12 月28 日起至2016 年1 月 8 日止。 三、课程设计(论文) 地点: 电子测控实验室 四、课程设计(论文)内容要求: 1)课程设计任务: 1:设计一个能测量方波信号的频率的频率计。 2:测量的频率范围是0~999999 hz。 3: 测量结果用十进制数显示。 4: 量程自动切换,超限报警。 2)课程设计论文编写要求 (1)课程设计任务及要求 (2)总体电路设计 (3)模块设计---给出各个模块的详细设计思路 (4)管脚绑定---列表或截图给出管脚绑定情况 (5)仿真及FPGA开发板调试---给出运行仿真波形截图,分析运行结果。 (6)设计体会与小结---设计遇到的问题及解决办法,通过设计学到了哪些新知识,巩固了哪些知识,有哪些提高。 (7)参考文献(必须按标准格式列出,可参考教材后面的参考文献格式) (8)报告按规定排版打印,要求装订平整,否则要求返工; (9)课设报告的装订顺序如下:封面---任务书---中文摘要---目录----正文---附录(代码及相关图片) (10)严禁抄袭,如有发现,按不及格处理。 3)课程设计评分标准: (1)学习态度:10分;

(2)系统设计:20分; (3)代码调试:20分; (4)回答问题:20分; (5)论文撰写:30分。 4)课程设计进度安排 进度安排: 本设计持续10天,其中最后一天为答辩时间。 第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10天:验收合格后进行答辩。 学生签名: 2015 年12 月28 日 课程设计(论文)评审意见 (1)学习态度(10分):优()、良()、中()、一般()、差();(2)系统设计(20分):优()、良()、中()、一般()、差();(3)代码调试(20分):优()、良()、中()、一般()、差();(4)回答问题(20分):优()、良()、中()、一般()、差();(5)论文撰写(30分):优()、良()、中()、一般()、差(); 评阅人:职称: 2016 年 1 月10 日

EDA技术课程设计-脉冲按键电话显示器.

课程EDA技术课程设计 题目脉冲按键电话显示器 专业电子信息工程 主要内容、基本要求、主要参考资料等 主要内容: 设计一个准确地反映按键数字具有8位显示的电话按键显示器,该电话显示器要求具有重拨的功能,当按下重拨键时,能够显示最后一次输入的电话号码。 基本要求: 1、设计一个具有8位显示的电话按键显示器; 2、能准确地反映按键数字; 3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位; 4、设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码; 5、挂机2秒后或按熄灭按键,熄灭显示器显示。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 本次课程设计是用VHDL语言实现一个能准确地反映按键数字、具有8位显示的电话按键显示器。摘机时开始工作,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;设置一个“重拨”键,按下此键能显示最后一次输入的电话号码;挂机2秒后或按熄灭键,熄灭显示器显示。 本文设计的脉冲按键电话显示器由五个模块组成:按键电路、译码器、移位寄存、锁存器和数码管显示电路,其中移位寄存、锁存器和数码管译码显示电路为系统的主要组成部分。 (1)按键电路模块。提供“0”到“9”数字按键的输入,同时设置有拨号键,清除键,挂机键和重拨键。 (2)译码电路模块。译码器有两个功能。第一,把输入的一位键值转换成四位BCD码;第二,把4位二进制码译成相应的数码管输出显示码。 BCD码对应的七段数码管显示如下表: BCD码对应的数码管显示

EDA课程设计洗衣机控制器要点

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目洗衣机控制器 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。 2.设计框图 图1 设计框图 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、设计步骤和调试过程 1、模块设计和相应模块代码 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电

eda课程设计1203010

《EDA技术》 课程设计报告 题目: FPGA数字时钟设计 班级: 12电信本2 学号: 1203010211 姓名:高翔 同组人员:汤吉鑫王正提 指导教师:杨祖芳 2015年 5月1日

目录 1 设计任务 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2 总体设计框图 (1) 3 单元电路设计 (1) 3.1 秒计数器模块设计与实现 (1) 3.2 分计数器模块设计与实现 (3) 3.3 时计数器模块设计与实现 (4) 3.4 校准模块 (5) 3.5 BCD七段显示译码器 (5) 3.6 3-8线译码器模块设计与实现 (6) 3.7 分频器的设计与实现 (7) 3.8 去抖模块 (7) 3.9 动态扫描数码显示器 (8) 3.10 顶层原理设计图 (8) 4 硬件测试与结果分析 (9) 4.1 硬件测试 (9) 4.2 测试过程及结果分析 (9) 5 收获与体会 (10) 参考书目 (10) 附录 (11)

1 设计任务 设计并实现具有一定功能的数字钟。包括清零、置数、计数、报时等功能。 (1)具有时、分、秒计数显示功能,且以24小时循环计时。 (2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。 (3)具有整点报时功能。 2 总体设计方案 2.1 设计思路 本设计采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分 计数器、时计数器、bcd 七段显示译码器、3-8译码器、分频器、动态扫描数码显示器。顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。 2.2 总体设计框图 图2-2 设计框图 3 单元电路设计 3.1 秒计数器模块设计与实现 计时模块使用的时钟信号为1Hz 。秒计时模块为60进制计数器,也可以看为个位为10进制十位为6进制。当秒的个位显示到9时,下一秒向十位进1 并将个位重新归零并开始计数,当十位为5个位为9时,计时器下一秒向分计数器进1并同时将秒计时器个位、十位归零。也可以看成计时器从00开始计数到59,当秒显示为59时,下一秒将显示00并从新开始计数,同时向分位为进1。当秒计时模块中扫描到有按键按下时,直接向分计时器进1,但不影响秒计时器的正常计数(扫描按键是

EDA技术课程设计 矩形波

河北科技大学 课程设计报告 学生姓名(学号): 专业班级:电信班 课程名称:EDA技术课程设计 学年学期: 2 013 —2 014学年第2 学期指导教师:于国庆 2 0 14 年6 月 课程设计成绩评定表

学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 总成绩 专业班级电信班起止时间2014/6/13—2014/6/20 1Hz-10KHz任意频率矩形波发生器 设计题目 指 导 教 师 评 指导教师: 语 年月日 目录

一、设计要求 (3) 二、单元模块设 (4) 2.1分频电路 (4) 2.2占空比设计思路 (4) 2.3频率显示设计思路 (4) 三、系统设计 (5) 3.1 DDS基本结构 (5) 3.2 总体原理 (5) 四、仿真与调试 (5) 4.1主程序 (5) 4.2按键去抖程序 (13) 4.3仿真图 (15) 4.4引脚锁定 (16) 五、发现的问题和改进方法 (17) 六、协同与分工 (17) 七、心得体会(总结) (17) 八、参考文献 (18) 摘要

频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术。在通信、雷达、导航、电子侦察、干扰与抗干扰等众多领域都有应用。随着各种频合成器和频率合成方案的出现,频率合成技术得到了不断的发展。 1971年3月美国学者J.Tierncy,C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS__Direct Digital Synthesis)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS 技术具有极高的频率分辨率、极快的变频速度,变频相位连续、相位噪声低,易于功能扩展和全数字化便于集成,容易实现对输出信号的多种调制等优点,满足了现代电子系统的许多要求,因此得到了迅速的发展。 基于DDS的优点,本课设中采用此设计方法来完成课设任务。 一、设计要求 功能:采用直接数字频率合成(DDS)技术原理设计,由按键设置输出频率,数码管低5位显示输出频率值;按键设置输出信号占空比,数码管高两位显示输出信号占空比,占空比类型为固定为7种:8:1、4:1、2:1、1:1、1:2、1:4、1:8、,对应显示为:8.1、4.1、2.1、1.1、1.2、1.4、1.8。 要求:输出频率设定采用5个按键,分别对频率值的个位、十位、百位、千位及十千位设置,按键时对应位的数值+1,0~9循环,显示值即输出频率值。占空比设置用一个按键,占空比7中类型循环,信号同时输出给蜂鸣器。 发挥:多路信号输出,相位关系可设定;8个led显示相位累加器量值(单点示波器)。 二、单元模块设计思路 2.1 分频电路

相关主题