搜档网
当前位置:搜档网 › 基本逻辑门电路运算 复习

基本逻辑门电路运算 复习

基本逻辑门电路运算 复习
基本逻辑门电路运算 复习

基本的逻辑运算表示式-基本逻辑门电路符号

1、与逻辑(AND Logic)

与逻辑又叫做逻辑乘,通过开关的工作加以说明与逻辑的运算。

从上图看出,当开关有一个断开时,灯泡处于灭的,仅当两个开关合上时,灯泡才会亮。于是将与逻辑的关系速记为:“有0出0,全1出1”。

图(b)列出了两个开关的组合,以及与灯泡的,用0表示开关处于断开,1表示开关处于合上的;

灯泡的用0表示灭,用1表示亮。

图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,开关有三个则符号的左边再加上一道线就行了。

逻辑与的关系还用表达式的形式表示为:

F=A·B

上式在不造成误解的下可简写为:F=AB。

2、或逻辑(OR Logic)

上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B两个开关中有一个或两个一起合上时,其灯泡就会亮。如开关合上的用1表示,开关断开的用0表示;灯泡的亮时用1表示,不亮时用0表示,则可列出图(b)

的真值表。这种逻辑关系通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。

或逻辑可速记为:“有1出1,全0出0”。

上图(c)为或逻辑门电路符号,通常用该符号来表示或逻辑,其方块中的“≥1”表示输入中有一个及一个的1,输出就为1。

逻辑或的表示式为:

F=A+B

3、非逻辑(NOT Logic)

非逻辑又常称为反相运算(Inverters)。下图(a)的电路实现的逻辑功能非运算的功能,从图上看出当开关A 合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的与输入A的相反。非运算的逻辑表达式为

图(c)给出了非逻辑门电路符号。

复合逻辑运算

在数字系统中,除了与运算、或运算、非运算之外,使用的逻辑运算还有是通过这三种运算派生出来的运算,这种运算通常称为复合运算,的复合运算有:与非、或非、与或非、同或及异或等。

4、与非逻辑(NAND Logic)

与非逻辑是由与、非逻辑复合而成的。其逻辑可描述为:“输入为1时,输出为0;否则始终为1”。下图(a)为与非逻辑门电路符号。

多输入的与非逻辑表达式可写为:

5、或非逻辑(NOR Logic)

上图(b)为或非逻辑门电路符号,从与非的逻辑推出或非的逻辑关系:“输入中有一个及一个1,则输出为0,仅当输入全为0时输出为1”。或非逻辑的逻辑符号如下:

6、与或非逻辑

上图中图(c)为与或非逻辑门电路符号,A,B相与后输出到或运算输入,C,D也相与后输出到或逻辑的输入,这两个输出再进行或运算后加到非运算输出。上图与或非的逻辑表达式为:

7、异或逻辑

图(d)为异或逻辑门电路符号,=1表示当两个输入中只有一个为1时,输出为1;否则为0。异或运算的逻辑表达式为:

上式中,“⊕”表示异或运算。

8、同或逻辑

图(e)为同或的逻辑关系,从图上看出同或上是异或的非逻辑,下表也说明了其两者的非的逻辑关系。

同或的逻辑表达式为:

上式中“⊙”是同或逻辑门电路符号。

基本门电路-什么是门电路-逻辑门电路图一、分立元件基本门电路

二极管与门电路图

二极管或门电路图

三极管非门电路图

二、TTL集成基本门电路

在数字电路中,分立元件门电路已较少采用,而使用集成门电路,主要有TTL类型和CMOS类型。1.TTL三态门、OC门(极电极开路与非门)

有两个门电路,TTL三态门、OC门,的用法与众不同,符号及逻辑关系如图。

标准TTL集成逻辑基本门电路

电压转移特性曲线

高、低电平:输入电压分为U IH、U IL,输出电压分为U OH、U OL 抗干扰性能

输入特性曲线

1.TTL电路的输入不能为负;

2.TTL门电路的输入端在输入低电平时电流高于输入为高电平的电流。

输入负载特性曲线

结论:输入端接电阻到地时,其当电阻大于700时,其输入端相当于高电平,输入端悬空,悬空时相当于高电平。输出特性

扇出系数—负载能力

STTL

LSTTL

三、CMOS集成基本门电路(图)

OC门

三态门

CMOS三态门及传输门的符号及逻辑关系如图

四、基本门电路使用

门电路使用注意事项

电源

电源电压有两个电压:额定电源电压和极限电源电压

额定电源电压指正常工作时电源电压的允许大小:TTL电路为5V±5%(54系列5V±10%);CMOS电路为3~15V(4000B系列3~18V) 极限工作电源电压指超过该电源电压器件将永久损坏。TTL电路为7V;4000系列CMOS电路为18V。

输入电压

输入高电平电压应大于VIHmin而小于电源电压;输入低电平电压应大于0V而小于VILmax。

输入电压小于0V或大于电源电压将有损坏集成电路。

输出负载

除OC门和三态门外普通门电路输出不能并接,否则烧坏器件;

门电路的输出带同类门的个数不得超过扇出系数,否则造成不稳定;在速度高时带负载数尽少;

门电路输出接普通负载时,其输出电流就小于IOLmax和IOHmax。

工作及运输环境问题

温度、湿度、静电会影响器件的正常工作。

74系列TTL可工作在0~70℃,而54系列为-40~125℃,这通常的军品工作温度和民品工作温度的区别;

在工作时应注意静电对器件的影响,通过方法克服其影响:

在运输时采用防静电包装;使用时保证设备接地;测试器件是应先开机再加信号、关机时先断开信号后关电源。

集成门电路输入端的使用方法

前面介绍了常用TTL、CMOS门电路的逻辑关系,输入输出逻辑变量的均为逻辑高电平(1)或逻辑低电平(0)。在使用门电路进行逻辑运算时,还通过的方法将门电路的输入设置为高、低电平,具体如下。

1. TTL门电路输入端的使用

输入端置为1的方法:接电源正端、经较大电阻(R≥ 2k)接地、悬空等,如图。

2. CMOS门电路输入端的使用

输入端置1的方法:接电源正端等,

如图。

输入端置0的方法:

经电阻接地、

直接接地等,

如图。

例题

例1.已知逻辑门电路如图,请写出输出表达式,并由输入波形画出输出波形。

例2.图为两个门电路,试针对两种,分别讨论电路输出结果。

1.两个门电路均为CMOS门,输出高电平U OH=5V,输出低电平U OL=0V。

2.两个门电路均为TTL门,输出高电平U OH=3.6V,输出低电平U OL=0.3V,且R OH=2k。

数制与编码-数制转换方法-数制计算运算公式一、十进制数(Decimal Number)

的位数。

二、二进制数(Binary Number)

与十进制相似,二进制数也遵循两个规则:

仅有两个不同的数码,即0,1;

进/借位规则为:逢二进一,借一当二。

任意一个二进制数可表示为:

二进制数仅0,1两个数码,其运算规则比较简单,下现列出了二进制数进行加法和乘法的规则:

上表中式1+1=10中的红色为进位位。

三、十六进制(Hexadecimal Number)

二进制数在计算机系统中很方便,但当位数较多时,比较难记忆及书写,减小位数,通常将二进制数用十六进制表示。

十六进制是计算机系统中除二进制数之外使用较多的进制,其遵循的两个规则为:

其有0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F等共十六个数码,其分别对应于十进制数的0~15;

十六进制数的加减法的进/借位规则为:借一当十六,逢十六进一。

十六进制数同二进制数及十进制数一样,也写成展开式的形式。

在数制使用时,常将各种数制用简码来表示:如十进制数用D表示或省略;二进制用B来表示;十六进制数用H来表示。

如:十制数123表示为:123D123;二进制数1011表示为:1011B;十六进制数3A4表示为:3A4H。

在计算机中除上面讲到的二进制、十进制、十六进制外,还会讲到八进制数,这里就不讨论了。

下表列出了十进制0~16对应的二进制数和十六进制数。

各种数制转换

二、各种进制转换

1、进制转换为十进制

方法是:将进制按权位展开,各项相加,就得到相应的十进制数。

例1: N=(10110.101)B=(?)D

按权展开N=1*24+0*23+1*22+1*21+0*20+1*2-1+0*2-2+1*2-3

=16+4+2+0.5+0.125 =(22.625)D

2、将十进制转换成进制

方法是:它是分两部分进行的即整数部分和小数部分。

整数部分:(基数除法)

把要转换的数除以新的进制的基数,把余数新进制的最低位;

把上一次得的商在除以新的进制基数,把余数新进制的次低位;

继续上一步,直到最后的商为零,的余数新进制的最高位.

小数部分:(基数乘法)

把要转换数的小数部分乘以新进制的基数,把得到的整数部分新进制小数部分的最高位

继续上一步,直到小数部分变成零为止。达到预定的也。

例2 : N=(68.125)D=(?)O

3、二进制与八进制、十六进制的转换

二进制转换为八进制、十六进制:满足23和24的关系,把要转换的二进制从低位到高位每3位或4位一组,高位不足时在有效位前面添“0”,把每组二进制数转换成八进制或十六进制

八进制、十六进制转换为二进制时,把上面的过程逆过来。

例3:N=(C1B)H=(?)B

(C1B)H=1100/0001/1011=(110000011011)B

二进制数算术运算

一:二进制的四则运算

二进制也进行四则运算,它的运算规则如下:

加运算

0+0=0,0+1=1,1+0=1,1+1=10 逢2进1

减运算

1-1=0,1-0=1,0-0=1,0-1=1(向高位借1当2)

乘运算

0*0=0,0*1=0,1*0=0,1*1=1

除运算

二进制只有两个数(0,1),它的商是1或0.

通过例(1)再来介绍两个概念:半加和全加。

半加是最低位的加数和被加数相加时,不考虑低位向本位进位。

数的原码、反码及补码

1、数的表示形式

在生活中表示数的时候都是把正数前面加一个“+”,负数前面加一个“-”,在数字设备中,机器是不认识这些的,

就把“+”用“0”表示,“-”用“1”表示。原码、反码和补码。这三种形式是怎样表示的呢?如下:

2、原码、反码及补码的算术运算

这三种数码表示法的形成规则不同,算术运算方法也不。

3、溢出及补码运算中溢出的判断

溢出描述为运算结果大于数字设备的表示范围。这种现象应当作故障。

判断溢出是根据最高位的进位来判断的。

常用编码

1、BCD编码

在数字系统中,各种数据要转换为二进制代码才能进行,而人们习惯于使用十进制数,在数字系统的输入输出中仍采用十进制数,就产生了用四位二进制数表示一位十进制数的方法,这种用于表示十进制数的二进制代码称为二-十进制代码(Binary Coded Decimal),简称为BCD码。它具有二进制数的形式以满足数字系统的,又具有十进制的特点(只有十种有效)。在某些下,计算机也对这种形式的数直接进行运算。的BCD码表示有以下几种。

8421BCD编码

这是一种使用最广的BCD码,是一种有权码,其各位的权分别是(从最有效高位开始到最低有效位)8,4,2,1。

例写出十进数563.97D对应的8421BCD码。

563.97D=0101 0110 0011 . 1001 01118421BCD

例写出8421BCD码1101001.010118421BCD对应的十进制数。

1101001.010118421BCD=0110 1001 . 0101 10008421BCD=69.58D

在使用8421BCD码时要注意其有效的编码仅十个,即:0000~1001。四位二进制数的其余六个编码

1010,1011,1100,1101,1110,1111不是有效编码。

2421BCD编码

2421BCD码也是一种有权码,其从高位到低位的权分别为2,4,2,1,其也用四位二进制数来表示一位十进制数。其编码规则如下表。

2、余3码

须作性了解,具体的编码如下表。

BCD编码表

3、格雷反射码(循环码)

格雷码是一种无权码,其特点是任意两个相邻的码只有一个数不同。最大数与最小数也仅一个数不同,故通常又叫格雷反射码或循环码。

4、奇偶校验码

在数据的存取、运算和传送过程中,难免会发生错误,把“1”错成“0”或把“0”错成“1”。奇偶校验码是一种能检验这种错误的代码。它分为两部分;信息位和奇偶校验位。有奇数个“1”称为奇校验,有偶数个“1”则称为偶校验。

基本逻辑门电路符号

基本逻辑门电路符号1、与逻辑(AND Logic)与逻辑又叫做逻辑乘,下面通过开关的工作状况 加以说明与逻辑的运算。 从上图可以看出,当开关有一个断开时,灯泡处于灭的状况,仅当两个开关同时合上时,灯泡才会亮。于是我们可以将与逻辑的关系速记为:“有0出0,全1出1”。 图(b)列出了两个开关的所有组合,以及与灯泡状况的情况,我们用0表示开关处于断开状况,1表示开关处于合上的状况;同时灯泡的状况用0表示灭,用1表示亮。 图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,如果开关有三个则符号的左边再加上一道线就行了。 逻辑与的关系还可以用表达式的形式表示为:F=A·B 上式在不造成误解的情况下可简写为:F=AB。 2、或逻辑(OR Logic) 上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B两个开关中有一个或两个一起合上时,其灯泡就会亮。如开关合上的状况用1表示,开关断开的状况用0表示;灯泡的状况亮时用1表示,不亮时用0表示,则可列出图(b)所示的真值表。这种逻辑关系就是通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。所以或逻辑可速记为:“有1出1,全0出0”。 上图(c)为或逻辑门电路符号,后面通常用该符号来表示或逻辑,其方块中的“≥1”表示输入中有一个及一个以上的1,输出就为1。逻辑或的表示式为:F=A+B 3、非逻辑(NOT Logic) 非逻辑又常称为反相运算(Inverters)。下图(a)所示的电路实现的逻辑功能就是非运算的功能,从图上可以看出当开关A合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的状况与输入A的状相 反。非运算的逻辑表达式为

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

《基本逻辑门电路》说课稿

《基本逻辑门电路》教学设计 今天我说课的课题是:《基本逻辑门电路》,选用的是高等教育出版社《电子技术基础》第七章第三节内容,课时1课时。 一、教材分析 《基本逻辑门电路》该节内容对整个数字电路的学习起到承上启下的作用,是数字电路学习的重点和关键。 (一)教学目标 根据教学大纲的要求和学生的实际,本节课我将教学目标按三维目标体系制定如下: 1.知识目标:能说出与、或、非三种基本逻辑门电路的逻辑功能,并能解释其现象及简单应用。 2.能力目标:识读电路图及画电路图的能力;观察和解释与、或、非基本应用现象并对其实例进行判断推理的能力。 3.情感目标:培养学生主动思考、突破思维定势的创新精神。 (二)教学重点、难点 依据教学标准的要求,确定教学重点,结合学生的已有的知识和实际技能设立教学难点。 1.教学重点:基本逻辑门的逻辑功能及逻辑符号。 2.教学难点:基本逻辑门在实际电路中的应用。 3. 关键点:学会用逻辑思维方法分析问题。 二、教法分析 (一)学情分析 《电子技术基础》的教学对象是二年级中职学生。他们已有的认知水平比较差,在上课时学生虽然不睡觉和说话,但是根本没听老师在讲什么,根本不知道这堂课该学习什么内容。对于学习没有一点紧张感,对专业知识毫不关心。因此,要把内容适当整理再让学生去掌握,在内容安排上,可以将理论知识的讲授、作业与技能训练有机地

融为一体。可边讲边练,以充分调动学生学习本课程的主动性和积极性。 (二)教学方法 实验法、任务驱动法、讨论总结 (三)教学手段 以实物为工具,采用计算机多媒体辅助教学。 三、学法指导 1引导学生通过观察实验、归纳总结等方法获取新知识。 2.鼓励学生合作交流,鼓励学生对实操作品多比较。 3.引导学生通过比较、猜测、发现、总结等环节解决问题,养成认真分析、善于比较的好习惯。 四、教学过程 课前准备: 1.将学生每6人分为一组,选举一人当组长。 2.将印发好的导学案发给每一个学生,学生通过导学案预习本课内容。 下面,请大家随同我共同走进教学过程。 (1)画出符合与逻辑的电路实例,并请同学来分析串联的s1和s2开关的闭合情况对于照明灯的影响 生:观察电路、思考分析开关与照明灯的几种关系,并试着得出结论。 (2)总结学生的分析观点,给出正确结论 教师通过收集学生的分析观点,总结分析情况,并给出正确的结论。 逻辑门电路的定义:是指具有多个输入端和一个输出端的开关电路。 基本的逻辑门电路有:与门、或门、非门。 生:听老师讲解正确的结论,检查自己的推论是否正确,反思错误的地方。

基本逻辑关系和常用逻辑门电路

第2章 基本逻辑关系和常用逻辑门电路 通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图2.1.1所示电路,只有当开关A 与B 全部闭合时,灯泡Y 才亮;若开关A 或B 其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y =A ?B ,读作“A 与B”。在逻辑运算中,与逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.2所示,为简便计,输入端只用A 和B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y =A ?B =AB 两输入端与门的真值表如表2.1.1所示。波形图如图2.1.3所示。 表2.1.1 与门真值表 (a )常用符号 (b )国标符号

由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A 或B 其中任一个闭合,灯泡Y 就亮;A 、B 都不闭合,灯泡Y 才不亮。这种因果关系就是或逻辑关系。可表示为: Y =A +B 读作“A 或B”。在逻辑运算中或逻辑称为逻辑加。 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: Y =A +B 两输入端或门电路的真值表和波形图分别如表2.1.2和图2.1.6所示。 图2.1.3 与门的波形图 表2.1.2 图2.1.4 或逻辑举例

基本逻辑关系和常用逻辑门电路

第2章 基本逻辑关系和常用逻辑门电路 通常,把反映条件”和结果”之间的关系称为逻辑关系。如果以电路的输入信号反映 条 件”以输出信号反映 结果”此时电路输入、输出之间也就存在确定的逻辑关系。数字电 路就是实现特定逻辑关系的电路, 因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门, 它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、 或逻辑和非逻辑,相应的逻辑门为与门、 或 门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后, 该事件才发生,否则就不 发生的一种因果关系。 如图2.1.1所示电路,只有当开关 A 与B 全部闭合时,灯泡 Y 才亮;若开关 A 或B 其 中有一个不闭合,灯泡Y 就不亮。 这种因果关系就是与逻辑关系, 可表示为Y = A.B,读作A 与B ”在逻辑运算中,与逻 辑称为逻辑乘。 A — & —Y B ― ____ (b )国标符号 图2.1.1与逻辑举例 图2.1.2与逻辑符号 与门是指能够实现与逻辑关系的门电路。 与门具有两个或多个输入端, 一个输出端。其 逻辑符号如图2.1.2所示,为简便计,输入端只用 A 和 B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y = A ?B = AB 两输入端与门的真值表如表 2.1.1所示。波形图如图2.1.3所示。 表2.1.1 与门真值表 A B Y 0 0 亠 1 0 亠 (a )常用符号 母—

图2.1.3与门的波形图由此可见,与 门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A或B其中任一个闭合,灯泡Y就亮;A、B都不闭合,灯泡Y才不亮。这种因果关系就是或逻辑关系。可表示为: Y= A+ B 读作A或B”在逻辑运算中或逻辑称为逻辑加。 崖禺>■:甘, 图2.1.4 或逻辑举例(a)常用符号(b)国标符号 图2.1.5或逻辑符号 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其 逻辑符号如图2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: =A+ B 表2.1.2 两输入端或门电路的真值表和波形图分别如表 2.1.2和图2.1.6所示。

基本逻辑关系和常用逻辑门电路.doc

通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。 数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻 辑门,它们反映了基本的逻辑关系。 基本逻辑关系和逻辑门 2.1.1基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图 2.1.1所示电路,只有当开关 A 与 B 全部闭合时,灯泡Y 才亮;若开关 A 或 B 其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y= AB,读作“A 与 B”。在逻辑运算中,与 ( a)常用符号(b)国标符号 图 2.1.1与逻辑举例 图 2.1.2与逻辑符号 逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.2所示,为简便计,输入端只用 A 和 B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y= AB= AB 两输入端与门的真值表如表 2.1.1所示。波形图如图所示。

表 2.1.1与门真值表 A B Y 0 0 0 0 1 0 1 0 0 1 1 1 图 2.1.3与门的波形图由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会 发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图 2.1.4 所示电路,只要开关 A 或 B 其中任一个闭合,灯泡 Y 就亮; A、B 都不闭合,灯泡 Y 才不亮。这种因果关系就是或逻辑关系。可表示为: Y=A+B 读作“A 或 B”。在逻辑运算中或逻辑称为逻辑加。 图 2.1.4或逻辑举例(a)常用符号(b)国标符号 图 2.1.5 或逻辑符号 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.5所示。

教案.第六讲 常用CMOS逻辑门电路及74LS系列TTL逻辑门电路

上一讲内容回顾: CMOS 反相器结构和工作原理 +V DD B 1 G 1 D 1 S 1 u A u Y T N T P B 2 D 2S 2G 2 V SS +-u GSN u +-GSP A Y 0V +V DD u A u GSN |u GSP |T N T P u Y 0V |U th(P)|截止导通 V DD V DD >U th(N)<|U th(P)|导通截止 0V 设U th(N)=2V ,U th(P)=-2V ,V DD =5V 。 T R ONP u Y +V DD V DD S N T P T R ONN u Y +V DD 0V S N T P A Y 导通导通 截止 截止u A =0V 时 u A =V DD 时 电压传输特性和电流传输特性 i D ++V DD B 1 G 1 D 1 S 1 u I - u O T N T P B 2 D 2S 2G 2 V SS A B C D E F U th(N) V DD U TH U th(P) U NL U NH u O / V u I / V D A B C E F i D /mA u I / V U TH 电压传输特性 电流传输特性

1. 常用逻辑功能的CMOS 门电路 (一)CMOS 逻辑与非和或非门电路 ①与非门 A B T N1T P1T N2T P2 Y 0 0 0 11 01 1截通截通通通通 截截通截截截截通通1110与非门u A +V DD +10V V SS T P1T N1 T P2T N2 A B Y u B u Y 0101AB Y =A B Y ②或非门 或非门B A Y +=u A +V DD +10V V SS T P1T N1T N2T P2A B Y u B u Y A B T N1T P1T N2T P2 Y 0 00 11 01 1截通截通通通通截截通截截截截通通1000A B Y (二)CMOS 漏极开路输出门电路(OD 门) 为什么需要OD 门能否将普通2个及以上的CMOS 门电路的输出直接连在一起,进而实现“线与”! 21Y Y Y =A B Y C D Y 1Y 2是否可以如此连接与应用10产生 一个很大的电 流 漏极开路输出CMOS 门电路(OD 门) A B Y AB Y =V R L V DD2DD1 A B V SS 用途:输出缓冲/驱动器;输出电平的变换;满足大功率负载

基本逻辑门电路

课题:基本逻辑门电路 学校:莱州市高级职业学校姓名:贾春兰 二○○七年九月

讲授新课一、与逻辑和与门电路 1、与逻辑 实验: 结论:当决定某一事件的所有条 件都满足时,结果才会发生,这种条 件和结果之间的关系称为与逻辑关 系。 屏幕显示实验 电路,教师启 发、引导学生观 察:观察开关S1 和S2在不同工 作状态时,照明 灯HL的亮暗, 从而引导学生 归纳出与逻辑 关系 学生观察电 路,发现规 律:只有当 S1、S2都闭合 时,照明灯才 会亮,若有一 个开关不闭 合,照明灯就 不会亮 集中学生注 意力,活跃学 生思维,激发 学生学习兴 趣,培养学生 观察问题、分 析问题的能 力 教学过程 教学环节简明教学内容教师活动学生活动活动目的 课堂练习(一)与逻辑关系在生活中的应用举例。屏幕显示密 码保险柜的 开启,教师引 导学生思考, 并提出问题 学生观察电 路,回答问题 巩固新知 识,及时反 馈

讲授新课2、与门电路 1)逻辑符号 2)二极管与门电路 V A V B VD1 VD2 V L 0V 0V 3V 3V 0V 3V 0V 3V 导通 优先导通 截止 导通 导通 截止 优先导通 导通 0V 0V 0V 3V 3)真值表 A B L 0 0 0 1 1 0 1 1 1 4)逻辑功能 有0出0,全1出1 5)逻辑表达式 L=A·B或L=AB 教师直接绘 制与门电路 的逻辑符号, 并分析其特 点 屏幕显示二 极管与门电 路,介绍电路 的特点 教师引导学 生分析电路, 总结输出电 位V L和输入 电位V A和V B 的关系。 教师引导学 生绘制与门 电路的真值 表。 教师引导学 生观察真值 表,总结出逻 辑功能,写出 逻辑表达式。 学生观察逻 辑符号 学生观察电 路 学生在教师 的引导下,总 结输出电位 V L和输入电 位V A和V B的 关系。 学生总结规 律 学生总结规 律 增强学生的 直观性 理论联系实 际,激发学 生学习兴趣 培养学生分 析问题的能 力 提高学生归 纳总结能力 有利于学生 掌握规律, 便于应用 教学过程 教学环节简明教学内容教师活动学生活动活动目的

组合逻辑门电路导学案例

连云港大港中等专业学校教案 教案纸

8.3组合逻辑门电路 实用中常把与门、或门和非门组合起来使用。 8.3.1 几种常见的简单组合门电路 一、与非门 1.电路组成 在与门后面接一个非门,就构 成了与非门,如图8.3.1所示。 2.逻辑符号 在与门输出端加上一个小圆 圈,就构成了与非门的逻辑符号。 3.函数表达示式 与非门的函数逻辑式为 B A Y? = (8.3.1) 4.真值表 表8.3.1给出了与非门的真值表。 5.逻辑功能 与非门的逻辑功能为“全1出0,有0出1”。 表8.3.1 与非门真值表 A B A ? B B A? 0 0 1 1 0 1 1 1 1 1 1 二、或非门 1.电路组成 在或门后面接一个非门就构成 了或非门,如图8.3.2所示。 2.逻辑符号 在或门输出端加一小圆 圈就变 成了或非门的逻辑符号。 3.逻辑函数式 或非门逻辑函数式为 B A Y+ = (8.3.2) 4.真值表 表8.3.2给出了或非门的真值表。 表8.3.2 或非门真值表 A B A ? B B A Y+ =备注 课题 讲授 检查完成任 务情况巡视辅导 图8.3.1 与非门图8.3.2 或非门

0 0 1 1 0 1 1 1 1 1 1 5.逻辑功能 或非门的逻辑功能为“全0出1,有1出0”。 三、与或非门 1.电路组成 把两个(或两个 以上)与门的输出端 接到一个或非门的各 个输入端,就构成了 与或非门。与或非门 的电路如图8.3.3(a) 所示。 2.逻辑符号 与或非门的逻辑符号如图8.3.3(b)所示。 3.逻辑函数式 与或非门的逻辑函数式为 CD AB Y+ = (8.3.3) 4.真值表 表8.3.3给出了与或非门真值表。 表8.3.3 与或非门真值表 A B C D Y 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 5.逻辑功能 与或非门的逻辑功能为:当输入端中任何一组全为1时,输出即为0;只有各组输入都至少有一个为0时,输出才为1。 图8.3.3 与或非门

基本逻辑关系和常用逻辑门电路

第2章基本逻辑关系和常用逻辑门电路 通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图2.1.1所示电路,只有当开关A与B全部闭合时,灯泡Y才亮;若开关A或B其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y=A?B,读作“A与B”。在逻辑运算中,与逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.2所示,为简便计,输入端只用A和B两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y=A?B=AB 两输入端与门的真值表如表2.1.1所示。波形图如图2.1.3所示。 A B Y 0 0 0 0 1 0 1 0 0 表2.1.1 与门真值表 图2.1.1 与逻辑举例 (a)常用符号(b)国标符号 图2.1.2 与逻辑符号

1 1 1 由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A或B其中任一个闭合,灯泡Y就亮;A、B都不闭合,灯泡Y才不亮。这种因果关系就是或逻辑关系。可表示为: Y=A+B 读作“A或B”。在逻辑运算中或逻辑称为逻辑加。 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: Y=A+B 两输入端或门电路的真值表和波形图分别如表2.1.2和图2.1.6所示。 A B Y 0 0 0 0 1 1 1 0 1 1 1 1 图2.1.3 与门的波形图 表2.1.2 图2.1.4 或逻辑举例(a)常用符号(b)国标符号 图2.1.5 或逻辑符号

基本逻辑门电路知识介绍

基本逻辑门电路知识介绍 1.1 门电路的概念: 实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11.2 与门: 逻辑表达式F=A B 即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等. 11.3 或门:逻辑表达式F=A+ B 即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等. 11.4.非门逻辑表达式F=A

即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等. 11.5.与非门 逻辑表达式 F=AB 即只有当所有输入端A和B均为1时,输出端Y才为0,不然Y为 1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等. 11.6.或非门:逻辑表达式 F=A+B 即只要输入端A和B中有一个为1时,输出端Y即为0.所以输入端A和B均为0时,Y才会为1.或非门常见的芯片型号有:74LS02等. 11.7.同或门: 逻辑表达式F=A B+A B 11.8.异或门:逻辑表达式F=A B+A B

11.9.与或非门:逻辑表逻辑表达式F=AB+CD A D 11.10.RS触发器: 电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 : 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R=1、S=0时,则Q=0,Q=1,触发器置1。 2.当R=0、S=1时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的

基本的逻辑运算-基本逻辑门电路符号

基本的逻辑运算表示式-基本逻辑门电路符号 1、与逻辑(AND Logic) 与逻辑又叫做逻辑乘,通过开关的工作加以说明与逻辑的运算。 从上图看出,当开关有一个断开时,灯泡处于灭的,仅当两个开关合上时,灯泡才会亮。于是将与逻辑的关系速记为:“有0出0,全1出1”。 图(b)列出了两个开关的组合,以及与灯泡的,用0表示开关处于断开,1表示开关处于合上的; 灯泡的用0表示灭,用1表示亮。 图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,开关有三个则符号的左边再加上一道线就行了。 逻辑与的关系还用表达式的形式表示为: F=A·B 上式在不造成误解的下可简写为:F=AB。 2、或逻辑(OR Logic) 上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B两个开关中有一个或两个一起合上时,其灯泡就会 亮。如开关合上的用1表示,开关断开的用0表示;灯泡的亮时用1表示,不亮时用0表示,则可列出图(b) 的真值表。这种逻辑关系通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。 或逻辑可速记为:“有1出1,全0出0”。 上图(c)为或逻辑门电路符号,通常用该符号来表示或逻辑,其方块中的“≥1”表示输入中有一个及一个的1,输出就为1。 逻辑或的表示式为: F=A+B 3、非逻辑(NOT Logic) 非逻辑又常称为反相运算(Inverters)。下图(a)的电路实现的逻辑功能非运算的功能,从图上看出当开关A 合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的与输入A的相反。非运算的逻辑表达式为 图(c)给出了非逻辑门电路符号。

逻辑门电路教案

知识目标: 了解与门、或门、非门等基本逻辑门电路的特点及功能。 能快速识别电路图符号并写出真值表 逻辑门的输入输出间的关系。 能力目标: 熟悉、熟练逻辑门电路图、符号及其运算重点: 与门、或门、非门等基本逻辑门电路特点 逻辑门的输入输出间的关系 难点: 基本逻辑门的运算

【课堂导入】 “逻辑”指的是事物的前因后果所遵循的规律,如果把数字电路中的输入信号看作是“条件”,输出信号看作是“结果”,那么数字电路的输入输出信号就存在着一定的因果关系,即逻辑关系,能实现一定逻辑关系的数字电路称为逻辑门电路。(基本逻辑门电路:与门、非门、或门) 【教学过程】逻辑门基本知识 一.基本逻辑门电路: 与门:当开关S1、S2同时闭合时,灯L 就亮。 若将开关闭合为“1”,断开为“0”;灯亮为“1”,灯灭为“0”; 真值表:将各变量和函数可能出现的情况用下表表示。 输入端A、B,输出端Y: ____ & 逻辑符号: A ____Y ____ B 与门电路真值表 输入输出 A B Y 000 010 100 111 与门逻辑表达式:Y = A ?B 逻辑功能:全1出1,有0出0 或门:当开关S1或S2闭合时,灯L就亮。

或门电路真值表 逻辑符号: ____ A ____Y ____ B 输入 输出 A B Y 0 0 0 0 1 1 1 0 1 1 1 1 或门逻辑表达式:Y = A + B 逻辑功能:全0出0,有1出1 非门:当开关S 1断开时,灯L 就亮。 非门电路真值表 逻辑符号: A ____ ____Y 输入 输出 A Y 0 1 1 0 非门逻辑表达式:Y = A ‘ 逻辑功能:有1出0,有0出1 问题:基本逻辑门的符号分别是什么分别有什么逻辑功能 >1 1

基本逻辑门电路汇总

第一节基本逻辑门电路 1.1 门电路的概念: 实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11.2 与门: 逻辑表达式F=A B 即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等. 11.3 或门:逻辑表达式F=A+ B 即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等. 11.4.非门逻辑表达式F=A

即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等. 11.5.与非门 逻辑表达式 F=AB 即只有当所有输入端A 和B 均为1时,输出端Y 才为0,不然Y 为1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等. 11.6.或非门: 逻辑表达式 F=A+B 即只要输入端A 和B 中有一个为1时,输出端Y 即为0.所以输入端A 和B 均为0时,Y 才会为 1.或非门常见的芯片型号有:74LS02等. 11.7.同或门: 逻辑表达式F=A B+A B 11.8.异或门:逻辑表达式F=A B+A B

11.9.与或非门:逻辑表逻辑表达式F=AB+CD A 11.10.RS 触发器: 电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS 触发器,其逻辑电路如图 7.2.1.(a)所示。它有两个输入端R 、S 和两个输出端Q 、Q 。 工作原理 : 基本RS 触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R=1、S=0时,则Q=0,Q=1,触发器置1。 2.当R=0、S=1时,则Q=1,Q=0,触发器置0。

基本逻辑门电路符号和口诀

无论多么复杂的单片机电路,都是由若干基本电路单元组成的。 2.2.1 常用的逻辑门电路最基本的门电路是与、或、非门,把它们适当连接可以实现任意复杂的逻辑功能。用小规模集成电路构成复杂逻辑电路时,最常用的门电路是与(AND)、或(OR)、非(INV BUFF)、恒等(BUFF)、与非(NAND)、或非(NOR)、异或(XOR)。主要是因为这7种电路既可以完成基本逻辑功能,又具有较强的负载驱动能力,便于完成复杂而又实用的逻辑电路设计。 1.与门与门是一个能够实现逻辑乘运算的、多端输入、单端输出的逻辑电路,逻辑函数式:F = A·B 其记忆口诀为:有0出0,全1才1。 2.或门或门是一个能够实现逻辑加运算的多端输入、单端输出的逻辑电路,逻辑函数式:F = A+B 其记忆口诀为:有1出1,全0才0。 3.非门实现非逻辑功能的电路称为非门,有时又叫反相缓冲器。非门只有一个输入端和一个输出端,逻辑函数式是:F =A非 非门逻辑符号4.恒等门实现恒等逻辑功能的电路称为恒等门,又叫同相缓冲器。恒等门只有一个输入端和一个输出端,逻辑函数式是:F = A同相缓冲器和反相缓冲器在数字系统中用于增强信号的驱动能力。 5.与非门与和非的复合运算称为与非运算,逻辑函数式是:F = A.B非其记忆口诀为:有0出1,全1才0。 6.或非门

或与非的复合运算称为或非运算,逻辑函数式是:F = A+B非其记忆口诀为:有1出0,全0才1。 7.异或门异或逻辑也是一种广泛应用的复合逻辑,其记忆口诀为:相同出0,不同出1。 逻辑门电路是单片机外围电路运算、控制功能所必需的电路。在单片机系统中我们经常使用集成逻辑电路(常称为集成电路)。一片集成逻辑门电路中通常含有若干个逻辑门电路,如7400为4重二输入与非门,即7400内部有4个二输入的与非门。 高速CMOS74HC逻辑系列集成电路具有低功耗、宽工作电压、强抗干扰的特性,是单片机外围通用集成电路的首选系列。随着单片机内部功能的不断增强和硬件软件化,外部所用的逻辑门电路将越来越少。8.门电路的国标符号与国际流行符号常用门电路国标符号与国际流行符

[讲解]基本逻辑门电路符号

[讲解]基本逻辑门电路符号 基本逻辑门电路符号1、与逻辑(AND Logic) 与逻辑又叫做逻辑乘,下面通过开关的工作状况加以说明与逻辑的运算。 从上图可以看出,当开关有一个断开时,灯泡处于灭的状况,仅当两个开关同时合上时,灯泡才会亮。于是我们可以将与逻辑的关系速记为:“有0出0,全1出1”。 图(b)列出了两个开关的所有组合,以及与灯泡状况的情况,我们用0表示开关处于断开状况,1表示开关处于合上的状况;同时灯泡的状况用0表示灭,用1表示亮。 图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,如果开关有三个则符号的左边再加上一道线就行了。 逻辑与的关系还可以用表达式的形式表示为:F=A?B 上式在不造成误解的情况下可简写为:F=AB。 2、或逻辑(OR Logic) 上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B 两个开关中有一个或两个一起合上时,其灯泡就会亮。如开关合上的状况用1表示,开关断开的状况用0表示;灯泡的状况亮时用1表示,不亮时用0表示,则可

列出图(b)所示的真值表。这种逻辑关系就是通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。所以或逻辑可速记为:“有1出1,全0出0”。 上图(c)为或逻辑门电路符号,后面通常用该符号来表示或逻辑,其方块中的“?1”表示输入中有一个及一个以上的1,输出就为1。逻辑或的表示式为:F=A+B 3、非逻辑(NOT Logic) 非逻辑又常称为反相运算(Inverters)。下图(a)所示的电路实现的逻辑功能就是非运算的功能,从图上可以看出当开关A合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的状况与输入A的状相反。非运算的逻辑表达式为 图(c)给出了非逻辑门电路符号。 > 复合逻辑运算 在数字系统中,除了与运算、或运算、非运算之外,常常使用的逻辑运算还有一些是通过这三种运算派生出来的运算,这种运算通常称为复合运算,常见的复合运算有:与非、或非、与或非、同或及异或等。 4、与非逻辑(NAND Logic) 与非逻辑是由与、非逻辑复合而成的。其逻辑可描述为:“输入全部为1时, 输出为0;否则始终为1”。下图(a)为与非逻辑门电路符号。多输入的与非逻辑表达式可写为: 5、或非逻辑(NOR Logic)

基本逻辑门电路

第一节基本逻辑门电路 1、1门电路得概念: 实现基本与常用逻辑运算得电子电路,叫逻辑门电路。实现与运算得叫与门,实现或运算得叫或门,实现非运算得叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11、2与门: 逻辑表达式F=A B 即只有当输入端A与B均为1时,输出端Y才为1,不然Y为0、与门得常用芯片型号有:74LS08,74LS09等、 11、3 或门: 逻辑表达式F=A+ B 即当输入端A与B有一个为1时,输出端Y即为1,所以输入端A与B均为0时,Y才会为O、或门得常用芯片型号有:74LS32等、 11、4.非门逻辑表达式F=A 即输出端总就是与输入端相反、非门得常用芯片型号有:74LS04,74LS05,74LS06,74LS14等、 11、5.与非门逻辑表达式 F=AB 即只有当所有输入端A与B均为1时,输出端Y才为0,不然Y为1、与非门得常用芯片型号有:74LS00,74LS03,74S31,74LS132等、 11、6。或非门: 逻辑表达式F=A+B

即只要输入端A与B中有一个为1时,输出端Y即为0、所以输入端A与B均为0时,Y才会为1、或非门常见得芯片型号有:74LS02等、 11、7。同或门: 逻辑表达式F=A B+A B A F B 11、8、异或门:逻辑表达式F=A B+A B A F B 、9、与或非门:逻辑表逻辑表达式F=AB+CD A B C F 1、10、RS触发器: 电路结构 把两个与非门G1、G2得输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1、(a)所示.它有两个输入端R、S与两个输出端Q、Q. 工作原理 : 基本RS触发器得逻辑方程为: =1 =1 & ≥1

复合逻辑门电路教案

第六章数字电路基础 6.1.2复合逻辑门 一、教学目标: 1、知识目标: 了解与或非门、异或门、与非门与或非门等复合门的逻辑功能和逻辑符号。 掌握基本逻辑运算、逻辑函数的表示方法。 掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 2、能力目标:掌握逻辑代数的基本公式; 熟练应用公式化简逻辑函数。 3、情感目标:提高学生对本课程的学习兴趣,增强自信心。 二、教学重点: 了解与或非门、异或门、与非门与或非门等复合门的逻辑功能和逻辑符号。 掌握基本逻辑运算、逻辑函数的表示方法。 掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 三、教学难点: 用公式化简逻辑函数。 根据函数表达式画出逻辑图。 四、教具:电脑、投影仪 五、教学方法:演示法、讲解法 六:教学过程 复习:6.1 基本逻辑门电路

新课导入: 一、与非门 1.电路组成 在与门后面接一个非门,就构成 了与非门,如图8.3.1所示。 2.逻辑符号 在与门输出端加上一个小圆圈,就构成了与非门的逻辑符 号。 3.函数表达示式 与非门的函数逻辑式为 B A Y? =(8.3.1) 4.真值表 表8.3.1给出了与非门的真值表。 5.逻辑功能 与非门的逻辑功能为“全1出0,有0出1”。 表8.3.1 与非门真值表 A B A B B A? 0 0 10 1 1 1 1 图8.3.1 与非门

1110 二、或非门 1.电路组成 在或门后面接一个非门就构成了或 非门,如图8.3.2所示。 2.逻辑符号 在或门输出端加一小圆圈就变成了或非门的逻辑符号。3.逻辑函数式 或非门逻辑函数式为 B A Y+ =(8.3.2) 4.真值表 表8.3.2给出了或非门的真值表。 表8.3.2 或非门真值表 A B A B B A Y+= 0 0 1 10 1 1 1 1 1 1 5.逻辑功能 图8.3.2 或非门

基本逻辑门电路

新疆·天山·巴音布鲁克大草原 封

本节学习要点和要求掌握典型T T L与非门工作过程知道T T L与非门的带负载能力掌握T T L与非门的电压传输特性理解T T L与非门部分参数的意义掌握O C门及三态门的功能 学习要点

T T L 逻辑门学习主页典型T T L 与非门工作过程T T L 与非门的带负载能力T T L 与非门的电压传输特性T T L 与非门部分参数的意义集电极开路与非门及三态门的功能 TTL 逻辑门电路学习主页 需把鼠标移到相应的目录上单击鼠标左键即可,按空格键或鼠标左键将按结束 九寨沟珍珠滩瀑布 T T L 与非门的输入负载特性

一、TTL 与非门电路 一、TTL 与非门电路 TTL 逻辑门是指输入端和输出端都用双极型三极管的集成电路(称为三极管——三极管逻辑门)。 继续 本页完它的开关速度较快,是目前用得较多的一种集成逻辑门。 74LS00 V CC GND 1 7 8 14 & & & &

1.典型TTL 与非门电路电路组成 一、TTL 与非门电路1、典型TTL 与非门电路 继续 本页完典型TTL 与非门电路分成三大部分,输入级、中间级和输出级。& 这三个二极管用做保护 和抗干扰,对逻辑功能不起作用,分析逻辑关系时可略去。 +V CC (+5V) A B C T 1 T 2T 3 T 4T 5 R 2750 R 3360 R 5100 R 43K R 13K v o

1.典型TTL 与非门电路电路组成 一、TTL 与非门电路1、典型TTL 与非门电路 继续 本页完T 1 是多发射极三极管,可以看成由三个三极管的基极并接以及三个集电极并接而成,由共同的基极控制,分析电路时每一个发射极均可当成一个三极管来分析。 基本逻辑门电路 +V CC (+5V) A B C T 1 T 2T 3 T 4T 5 R 2750 R 3360 R 5100 R 43K R 13K v o &

逻辑门电路—与门教案

课时授课计划(首页)

课时授课计划 课前准备 实物资源任务书、学生工作页、个性化电路板、直流稳压电源 信息化资源Protues仿真软件、网站资源、数字化教学平台 教学组织 师生问好、清点人数活动目的: 使学生集中精力进 入学习状态 教师活动问好、环顾学生 学生活动 起立问好、端正坐姿、班长汇报班级人 数情况 新课架构 引入阶段:教师引导3’活动目的 课件展示一个电影《终结者2》的视频 引发学生注意力,使 学生开始思考本节 课知识 教师 活动 点精讲解视频,引导学生悟出逻辑关系 再提问学生:生活中还有没有其他具有这种逻辑规律的应用? 学生 活动 积极思考,进行回答问题。 新课架构 探究阶段:学生自主探究7’活动目的 分四组,每组给出个性化电路板 提高学生的自学能 力,培养学生自己分 析问题的能力。 培养学生的团队合 作意识。 教师 活动 提示用电安全 用“完成测试电路板逻辑功能”为任务驱动学生动手操作 学生 活动 认识74LS08的与门芯片,自主动手通过控制两路输入开关,观察输 出现象。总结逻辑规律,将功能表填写到学生工作页,上传教学平台

新课架构 分析阶段:教师引导15’活动目的 根据 功能表 推出: 提炼出:与逻辑口诀:有0出0,全1出1 突出: 本节课重点内容 在专业能力上:让学 生掌握与门的逻辑 关系及表达方法 在方法能力上:提高 学生总结问题的能 力 教师 活动 利用黄金15分钟,帮助学生分析理论,引导学生完成各种表的方法 的学习。 学生 活动 在老师引导下,准确的总结出与逻辑的真值表、逻辑符号、表达式和 定义。 新课架构 强化阶段:学生自主探究10’活动目的 突破: 本节课难点内容 通过“做中学”提高 学生的自学能力,并 锻炼学生理论联系 实际的能力 教师 活动 给出推荐网站 难点之处提示强调 学生 活动 自主上网查找资料了解与门内部引脚结构 根据任务书中的电路图,使用Proteus软件连接电路并仿真

相关主题