搜档网
当前位置:搜档网 › 电子技术基础试卷及答案

电子技术基础试卷及答案

电子技术基础试卷及答案
电子技术基础试卷及答案

模拟电子技术基础试卷及参考答案

试卷五(本科)及其参考答案

试卷五

一、填空和选择题(每小题2分共16分)

1.半导体二极管的重要特性之一是。

(A)温度稳定性(B)单向导电性(C)放大作用(D)滤波特性2.在由NPN型BJT组成的单管共发射极放大电路中,如静态工作点过高,容易产生失真。

(A)截止失真(B)饱和v失真(C)双向失真(D)线性失真3.多级放大电路与组成它的任何一个单级放大电路相比,通频带。

(A)变宽(B)变窄(C)不变(D)与单级放大电路无关4.电流源电路的特点是输出电流恒定,交流等效电阻。

(A)等于零(B)比直流等效电阻小

(C)等于直流等效电阻(D)远远大于直流等效电阻

5.放大电路产生零点漂移的主要原因是。

(A)采用了直接耦合方式(B)采用了阻容耦合方式

(C)采用了正、负双电源供电(D)增益太大

6.二阶压控电压源低通有源滤波器通带外幅频响应曲线的斜率为。

(A)20dB/十倍频程(B)-20dB/十倍频程

(C)40dB十倍频程(D)-40dB/十倍频程

7.当有用信号的频率低于100Hz时,应采用滤波电路。

(A)低通(B)高通(C)带通(D)带阻

8.在图1-8所示电路中,稳压管D Z的稳定电压V Z = 6V,最小稳定电流I zmin = 5mA,输入电压V I = 12V,电阻R=100Ω,在稳定条件下I L的数值最大不应超过。

(A)40mA (B)45mA (C)55mA (D)60mA

图1-8

二、(14分)

分压式射极置共射放大电路如图2所示,已知BJT的β =100,V BE = 0.7V。电路处于正常放大状态。

(1)估算电路的静态工作点;

(2)画出简化的H参数小信号等效电路;

(3)求放大电路通带内的电压增益、输入电阻和输出电阻。

图2

三、(12分)

放大电路如图3 a、b、c、d所示。

(1)试分别说明4个放大电路所属的组态;

(2)当信号源为电压源,且内阻不为零时,最好采用图中哪一个电路作为输入级?

(3)为了使放大电路有较强的带负载能力,最好采用图中哪一个电路作为输出级?

(4)当信号的频率范围比较宽,肯包含较高的频率成分时,最好采用图中哪一个电路进行放大?

(5)假设图a放大电路的输入电阻和输出电阻均为4kΩ,图d的输入电阻为60kΩ,输出电阻为50Ω,当用图a和d构成两级放大电路,其输入信号取自内阻为200Ω的电压源信号,输出端带4kΩ负载时,试问:由图a作为第一级、图d为第二级时电压增益的值大,还是图d为第一级、图a为第二级时电压增益的值大?

图3

四、(10分)

电路如图4所示。

(1)R5、R6和T4构成的电路有什么作用?

(2)希望在不增加其它任何元器件情况下,通过图中反馈电阻R f引入负反馈,以稳定输出电压O v。试画出反馈通路的连线,并说明该反馈是什么组态;

(3)假设引入的负反馈为深度负反馈,可忽略T2、T3的饱和管压降。当电路输入幅值为300mV的正弦波信号时,若要求负载电阻R L上得到最大不失真输出电压,反馈电阻R f应取多大?此时负载获得的功率有多大?

图4

五、(15分)

1.(9分)电路如图5-1所示,试求出函数关系式)v (f v ),v (f v ),v (f v S O S S ===3O2O1。 (设图中运放均匀理想运放,电容器的初始电压为零)

图5-1

2.(6分)图5-2 a 、b 、c 所示电路中,假设A 为理想运逄放大器,其工作电源电压为±15V ,最大输出电压±V v 13Om ax ±=。当t = 0时该时,输入端加入100mV 的阶跃电压,试分别求出1秒钟后图a 、b 、c 输出电压的绝对值。

(a) (b) (c)

图5-2

六、(15分)

1.(9分)放大电路如图6-1所示,已知集成运算放大器A 的开环增益大于105。 (1)求静态电流I c4;

(2)放大电路处于静态时运放A 输入端的共模输入电压;

(3)要使电路引入的反馈为负反馈,试在图中标出运放A 输入端的“+”、“-”号; (4)电路中引入了什么组态(类型)的反馈?

(5)电路处于深度负反馈,求该电路的闭环电压增益。

图6-1

2.(6分)反馈放大电路如图6-2所示。 (1)判断电路的反馈阻态(类型);

(2)电路引入反馈后,对电路的输入电阻将产生什么影响?是稳定了输出电压,还是稳定了输出电流?

图6-2

七、(10分)

桥式RC 正弦波振荡电路如图7a 左侧所示。

(1)为使电路能产生振荡,根据相位平衡条件,正确连接a 、b 、c 、d 。 (2)振荡频率是多少?

(3)若常温下(C ?25),热敏电阻R f =10k Ω,则R 1的应怎么样取值才能使电路起振? (4)当3

2O O 、v v 的波形如图7b 所示时,从下列答案中选择正确的答案填入图a 相应

的方框中:

A .电压路随器 B. 正弦波振荡电路 C. 微分电路 D. 枳分电路 E .单门限比较器 F. 同相输入迟滞比较器 G . 反相输入迟滞比较器

(a)

(b)

图7

八、(8分)

小功率直流稳压电源如图8所示。

(1)电路中存在错误,请指出错误之处,并改正之(可在图中改,也可用文字说明)(2)电路两输出端对地的直流电压是多少?

(3)若7815、7915输入与输出的最小电压差为2.5V,则V2的有效值不应小于多少?(4)一般情况下,C1、C2的电容值越大越好,还是越小越好?为什么?

图8

试卷五参考答案

一、

1.B

2.B

3.B

4.D

5.A

6.D

7.A

8.C

二、V B =V

79.212103310

=?+

mA

R V V I I 2R e2

e1BE

B E

C =+-=

A I I μβ20/C

B ==

V

R R R I V V 3.3)

(e2e1C C CC CE =++-=

r be =200+101Ω=?

k I 513.126

E 4

.25)1(//3e1

be L

E V -=++-

=R V R R A β

Ω=++=k R r R R R 6.3])1(//[//e1be b2b1i β

R O =R C =3.3Ωk

三、(1) (a) CE (b) CB (c) CS (d) CC (2)c (3)d (4)b (5)a —d

四、(1) 克服交越失真

(2)引入电压并联负反馈,电路如下图所示

(3)

V 15omax =V

50 =

A

Ω

=k

50

f

R

W

14

8

2

15

2

2

L

2

O

=

?

=

=

R

V

P om

五、1. s v

v2

01

=

s

v

v

v6

)

5

10

1(

01

02

-

=

+

=

?

?=

-

=dt

dt

RC s

02

o

v

RC

6

v

v1

2. (a)

V

1

1.0

10=

?

=

O

v

(b)

V

13

=

o

v

(c)

V

=

o

v

六、1. (1)

mA

1

C3

BE

EE

CC

C4

=

-

+

=

R

V

V

V

I

(2)

V

R

I

V4

2

1

C

C4

CC

N

=

?

-

=

=

p

v

v

(3)运放A输入端上端为同相端,下端为反向端

(4)电压串联负反馈

(5)

13

12

1

1

b

f=

+

=

+

=

R

R

A

vf

2. (1)电流并联负反馈

(2)减小R i ,稳定I o

七、(1)

(2)

HZ

RC

f

o

796

2

1

=

π

=

(3)

Ω

=

<

>

>

+k

R

R

R

R

R

R

t

t

t5

2

2

3

1

1

1

1

八、(1)图中D3接反了

(2)±15V

(3)

V

8.

31

1.1

5.2

2

)

(

=

?

+

-

-

=o

o

2

v

v

v

(4)越大越好,滤波后o v波形平坦

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电工电子技术基础——习题解答

第1章习题 1-1 判断题 1.电荷的定向移动形成电流。(√) 2.直流电路中,电流总是从高电位流向低电位。(√) 3.电阻的体积越大,其额定的耗散功率越大。(√) 4.电阻的额定功率越大,它消耗的电能就越大。(×) 5.电阻串联时,各电阻上消耗的功率与其电阻的阻值成反比。(×) 6.电流表必须串联在电路中应用,而电压表则必须并联在电路中应用。(√) 7.在选择电器时,电器的额定电压一定要等于电源的额定电压。(√)8.额定功率越大的电器,其消耗的电能一定多。(×) 9.电压源和电流源是同一电源的两种不同的等效模型。(√) 10.电容器和电阻器虽然结构不同,其实是同一类型的电气元件。(×)11.电容器并联总电容量增加;电容器串联总电容量减小。(√) 12.对于同一个电容器,两端的电压越高其储存的电场能量越小。(√)1-2 计算题 1 一直流电流流过导体,已知在1min内通过导体横截面的电荷量为6000C,问该电流有多大?如果在1s内通过导体横截面的电荷量为6000C,问该电流有多大? 解:根据I=Q/t, 有 (1)I=6000C/60s=100A (2)I=6000C/1s=6000A 2 试在图1-30中标出电流、电动势、电压的实际方向,并问通过电流表A1和A2的电流是否相等?B、C、D各点的电位谁高谁低? 图1-30 题2图 解:因为A1、A2是串联关系,流过的电流相等;电位的排序为:B>C>D

3 有两条长度为1km 、截面积为2mm 2的导线,一条是铝线,一条是铜线,这两条导线在常温下的电阻各为多少?要想使铝导线的电阻与铜导线的电阻相同,铝导线的截面积应增加为多大? 解: (1)铝导线常温下的电阻: =???==--6 3610210100283.0S l R 铝铝ρ14.15Ω (2)铜导线常温下的电阻: =???==--63610 210100175.0S l R 铜铜ρ8.75Ω (3)铝导线的电阻与铜导线的电阻相同时铝导线的截面积为: 26 36m m 23.31073.810100283.0=???=--铝S 4 有两只灯泡,额定功率都为40W ,一只额定电压为36V ,另一只额定电压为12V ,两只灯泡工作时的电阻各为多少?如果将两只灯泡串联后接于48V 的电源上,哪只灯泡的电压超过了额定电压?将会有什么现象发生? 解: (1)工作电压为36V R =U 2/P =32.4Ω (2)工作电压为12V R =U 2/P =3.8Ω (3)串联接于48V 电源上 两电阻之和为R =32.4+3.8=36.2Ω 根据串联电阻分压公式有 2.434836 4.3211=?==U R R U V U 2=48-43.2=4.8V 额定电压为36V 的灯泡过压,灯丝会烧断。 5.计算下列灯泡的电阻及额定电压下的电流,并加以比较,澄清诸如“电

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电工电子技术基础习题答案汇总

第1章 电路的基本知识 1.1 电路的概念 (1)略 (2)电路通常由电源、负载和中间环节(导线和开关)等部分组成。 A .电源的作用:将其他形式的能转换成电能。 B .负载的作用:将电能转换成其他形式的能。 C .中间环节的作用:传递、分配和控制电能。 1.2 电路中的主要物理量 (1)零、负电位、正电位 (2)3、1.5、3、1.5、0、3 (3)-7,-5 1.3 电阻 (1)3∶4 (2)查表1.3,知锰铜合金的电阻率?Ω?=-7 10 4.4ρm 根据S l R ρ=,得43.1104.41021.0376=???==--ρRS l m 1.4 欧姆定律 (1)电动势、内压降 (2)当R =∞ 时,电路处于开路状态,其特点是电路中电流为零,电源端电压等于电源电动势;当R =0时,电路处于短路状态,其特点是短路电流极大,电源端电压等于0。 (3)22.01000 220 === R U I A 由于22.0=I A=220mA 50>mA ,故此人有生命危险。 1.5 电功与电功率 (1)2540 1000 ===P W t h (2)略 (3)31680072002.0220=??==UIt W J 思考与练习 一、判断题 1.√ 2. × 3. √ 4. × 5. √ 6. × 7. × 8. √ 9. × 二、选择题 1. C 2. C 3. B 4. B 5. B 6. B 7. C 8. B 三、填空题

1.正、相反; 2.参考点; 3.负极、正极; 4.高、低、低、高; 5.材料、长度、横截面积、 S l R ρ =; 6.1800、±5%; 7.220 四、计算题 1.5510=-=-=b a ab V V U V 10)5(5=--=-=c b bc V V U V 15)5(10=--=-=c a ac V V U V 15-=-=ac ca U U V 2.2.012024===t Q I A Ω=== 202 .04I U R 3.(1)210 100220 =+=+= r R E I A (2)2001002=?==IR U V (3)20102=?==Ir U r V 4.(1)8804220=?==UI P W (2)15840001800880=?==Pt W J (3)1440018005.042 2 =??==Rt I Q J (4)1569600144001584000=-=-=Q W E J 第2章 直流电路的分析与计算 2.1 电阻的连接 (1)5.04 2 11=== R U I A 10205.022=?==IR U V 1210221=+=+=U U U V (2)由于1 2 2 212 21R R R U R U P P = = 故142820 101212=?== P R R P W

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电工电子技术与技能教案(1-1).

电工电子技术与技能教案(1-1)【课题编号】 1-01-01 【课题名称】认识电工实训室与安全用电 【教学目标】 应知: 1.简单认识电工实训室。 2.了解电工基本操作规程。 应会: 1.掌握常用电工仪器、仪表的使用。 2.学会安全用电常识。 【学情分析】学生在初中物理电学的基础上,接触电工电子这门课程,为了让学生对这门课程能有一个初步的认识,从认识实训室入手,加强实物教学,能降低学习难度,符合学生的认知规律,从而达到教学目的。通过多媒体演示、教师讲解、学生讨论让学生有一定的安全用电知识,为以后的学习做好安全保障。 【教学方法】现场教学法、演示法、实验法、讨论法、对比法。 【教具资源】 电工实训台、万用表、试电笔、多媒体课件 【教学安排】 2学时(90分钟) 【教学过程】 一、导入新课 电工电子技术与技能这门课程是学习关于电的知识、技能及应用,这些知识和技能的学习离不开电工实训室。为了让大家对电有一个具体的认识,我们首先认识电工实训室常用电工仪器、仪表。 二、讲授新课 教学环节1:认识电工实训室 (一)实训台 教师活动:引导学生观察实训台,了解实训台的几个组成部分的作用。 学生活动:观察实训台,在教师引导下分析、讨论,对实训台有初步了解。 能力培养:锻炼学生的观察能力和综合概括能力。

(二)常用电工仪器、仪表 教师活动:现场演示讲解各种仪器、仪表外形作用及简单使用方法。 学生活动:在教师引导下,观察各种仪器、仪表,练习简单的使用方法。 能力培养:锻炼学生的观察能力和动手操作能力。 教学环节2:电工基本操作规程 教师活动:简单讲解操作规程,引导学生讨论分析知道违规的弊端。 学生活动:分组讨论每项操作规程,了解违反规程的危害。 教学环节3:安全用电常识 (一)常见的触电方式 教师活动:通过触电实例,和学生介绍触电方式及触电的危害。 学生活动:在教师引导下,结合实例,分组讨论触电方式及危害。 能力培养:培养学生的分析概括和知识横向联系的能力。 (二)电流对人体的危害及触电急救 教师活动:通过触电实例,介绍电流对人体危害,安全电压;利用多媒体演示触电急救方法,让学生掌握简单触电急救方法。 学生活动:在教师引导下,结合实例,分组讨论电流对人体危害;观看多媒体演示触电急救方法,掌握简单触电急救方法。 能力培养:培养学生的分析概括和知识横向联系的能力。 (三)安全用电注意事项 教师活动:通过用电实例,介绍安全用电注意事项,让学生了解安全用电注意事项。 学生活动:联系实际,结合实例,分组讨论安全用电注意事项。 能力培养:培养学生的分析概括和知识横向联系的能力。 (四)电气火灾的防范 教师活动:通过用电实例,介绍引起电气火灾的原因,让学生了解基本灭火方法。 学生活动:联系实际,结合实例,分组讨论电气火灾的防范。 能力培养:培养学生的分析概括和知识横向联系的能力。 三、课堂小结 教师与学生一起回顾本节课的知识,引导学生在理论联系实践的基础上理解相关知识。为便于学生理解,教师要尽可能结合实际,用多媒体投影,像讲故事一样,引导学生一起回顾实训室、安全用电知识。必要时可以各小组总结本节主要内容,让学生在轻松的气氛下掌握知识。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电工电子技术基础-在线作业-

电工电子技术基础_在线作业_5 交卷时间:2017-01-16 13:54:47 考试成绩100分 一、单选题 1. (5分)555集成定时器内部属于()电路。 ? A. 模拟 ? B. 模拟和数字 ? C. 数字 ? D. 分立元件 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 2. (5分)74290的控制信号R9(1)、R9(2)均为高电平时,计数器的输出为()。

? A. 0 0 0 0 ? B. 1 0 0 1 ? C. 1 1 1 1 ? D. 1 0 0 0 纠错 得分:5 知识点:电工电子技术基础展开解析 答案B 解析 3. (5分) ? A. 串联电 压负反馈 ? B. 并联电流负反馈 ? C. 串联电流负反馈 纠错 得分:5 知识点:电工电子技术基础 展开解析 某测量放大电路,欲提高输入电阻、稳定输出电流,应引入()。

答案C 解析 4. (5分)为了提高电感性负载的功率因数,可以采用与电感性负载串联电容的办法。() ? A. 对 ? B. 错 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 5. (5分)恒流源的端口电压不会随负载的变化而变化,但恒流源的端口电流却会随负载的变化而变化。() ? A. 对 ? B. 错 纠错 得分:5

知识点:电工电子技术基础 展开解析 答案B 解析 6. (5分)可以表示为()。 ? A. ? B. ? C. ? D. 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案D 解析 7. (5分)555集成定时器内部3个电阻的作用是()。 ? A. 加压 ? B. 充电

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

《电工电子技术基础》教案课程.doc

周 授课 教学 执行 授课章节及内容摘要 学时 方式 课外作业及考核 次 情况 思考: 1、冬季穿脱毛衣时,静 1 绪论 安全用电常识 2 讲授 电有上千 v 的电压,为什么没有 出现电死人的情况? 2 15、 16 级德育体验周, 17 级军训 2 3 触电急救 2 电教 练习急救措施 4 第一章 电路的结构和常用基本 2 电教 课后习题一、二 物理量(电压、电流) 5 国庆、中秋放假 2 6 电路的相关物理量(电位、电动 2 讲授 课后习题三 1、 2、 3、 4 势、电能 ) 7 电阻串、并联电路的结构与作用 2 讲授 练习册 1.3、 1.4 8 秋季田径运动会 2 9 电路相关名词及基尔霍夫电压、 2 讲授 练习册 1.5 一、填空题 电流定律 10 第二章 磁场及电磁感应 2 电教 练习册 2.1、 2.2 11 半期复习与测试 2 测试 半期测试题 12 第三章 电容、 电感的概念、 参数 2 电教 课后习题一 、二、 标注及应用 13 第四章单相正弦交流电路的概 2 电教 练习册 4.1 念、相关物理量 14 正弦交流电的表示法 2 讲授 课后习题 一、二、 15 纯电感、纯电容电路的结构及功 2 电教 课后习题 三、四 率 16 纯电阻电路的结构及功率、电路 2 讲授 练习册 4.2 4.5 的功率因数 17 第五章三相交流电源的产生与应 2 讲授 课后题一、二、三 用 18 三相负载的连接 2 电教 练习册 5.1 一、 19 三相电功率 2 讲授 练习册 5.1 二、三 5.2 一、 二、 三

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电工电子技术基础练习题

电工电子技术基础练习题(1) 1、固定偏置放大电路如图所示,已知U CC V =20,U BE .V =07,晶体管的电流放 大系数β=100,欲满足I C mA =2,U CE V =4的要求,试求电阻R B ,R C 的阻值。 T ++ R B R C u o u i +U CC C 1 C 2 +-+- 2、电 路 如 图 所 示, 已 知 晶 体 管 的β=60,r be k =1Ω,U BE =0.7 V , 试 求:(1) 静 态 工 作 点 IB ,IC ,UCE 。 +3kΩ270kΩ + C 1 C 2 u i u o R B1R C +12V 3DG6 + - + - 3、电 路 如 图 所 示, 已 知 晶 体 管β=100,计 算 电 路 的 静 态 值 I B , I C ,U CE 。 4、如图:若U CC =6V ,R C =2k Ω,R B =200 k Ω, β=50,用估算法计算其静态工作点。 5、晶体管放大电路如下图所示: 已知β =100,r b e =1k Ω,Vcc=24V ,Rc

=3k Ω,R b =600k Ω,RL =1.5k Ω,试近似计算:放大电路的输入电阻R i ;输出电阻Ro ;电压放大倍数A u 。 6、放大电路如图所示,晶体管的电流放大系数β=50, U BE .V =06 ,R B1=110 k Ω,R B2=10 k Ω,RC=6k Ω,RE =400Ω,RL =6 k Ω,求:(1) 计算静态工作点;(2)画出微变等效电路; +R B1 R B2 R C R E R L u o u i + C 2 C 1+12V C B E + - +- 7、电 路 如 图所示,已知β=50,r be k =1 Ω,要求:(1) 画出两个电 路 的 微 变 等 效 电 路 ;(2) 计算输入电阻,输出电 阻。 8、单相半波整流电路中,U1为变压器原边电压,U2为变压器副边电压,U O 为负载电阻R L 上的电压,若U1是400V ,U1和U2线圈扎数比是20:1,计算U O 9、单相桥式整流电路中,U1为变压器原边电压,U2为变压器副边电压,

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

电工电子技术基础综合练习题.doc

电工电子基础综合练习 填空 *基本的数字逻辑门电路是:与门电路、_________或____和____非_______。 * 正逻辑体制中,高电平用_____1_______表示。低电平用______0______表示。 * 常用的单相整流电路有_____单相桥式全波整流___________、__________半波整流______等两种。 * 在单相桥式整流电路中,如果负载电流是2A,则流过每只二极管的电流是____1____A。如果负载电阻等于10Ω,则输出电压等于____20_____V。 *滤波电路中,滤波电感和负载___串____联,滤波电容和负载___并___联。 *硅稳压管在电路中,它的正极必须接电源的___负____极,它的负极接电源的____正___极。 *电流流通的___路径___叫电路,通常是由_____电源___、__负载_____、____导线__和____中间控制环节_____组成。 * 电路有三种工作状态,即___开路___状态、___短路____状态、通路状态。 *电源是将_____机械能____、______化学能_______、_______热能_______转换成______电能____的设备。 * 电路中两点间的电位之差称为两点间的______电压____。 * 电源的外特性是指_____端电压U___________随_____负载电流I____________的变化关系。 *基尔霍夫电流定律是指流入电路中任一节点的电流___之和______等于—_____流出____该节点的电流____之和_____。 * 正弦交流电的三要素是指____幅值_______、_____频率_____、____初相位______。 * 正弦交流量表达式中最大值与有效值的关系为_____Im=根号二Io________。* 两个频率相同的正弦交流量的_____初相位_______之差称为相位差。 *由两个电阻组成的串联电路,两端的总电压是100V,其中一个电阻为80Ω,两端电压为40V,则另一电阻为__120___Ω。 *放大电路静态工作点设置不合适,容易产生输出电压非线性失真,工作点过高容易产生饱和失真,工作点过低容易产生截止失真。 *PN结具有单相导通性性能,即加正向电压时PN结导通,加反向电压时PN结截止。 *电路中如果流过二极管的正向电流过大,二极管将会过热而损坏;若加在二极管两端的反向电压过高,二极管会击穿。 *某晶体三极管的U CE不变,基极电流I B=40uA时,I C=1mA,则发射极电流I E= mA,如果基极电流I B增大到60uA时,I C增加到2mA,则发射极电流I E=

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电工电子技术基础教材

电工电子技术基础教材 (第一版) 主编:马润渊张奋

目录 第一章安全用电 (1) 第二章直流电路基础 (2) 第三章正弦交流电路 (21) 第四章三相电路 (27) 第五章变压器 (39) 第六章电动机 (54) 第七章常用半导体 (59) 第八章基本放大电路 (65) 第九章集成运算放大器 (72) 第十章直流稳压电源 (75) 第十一章数制与编码 (78) 第十二章逻辑代数基础 (81) 第十三章门电路和组合逻辑电路 (84)

第一章安全用电 学习要点: 了解电流对人体的危害 掌握安全用电的基本知识 掌握触点急救的方法 1.1 触电方式 安全电压:36V和12V两种。一般情况下可采用36V的安全电压,在非常潮湿的场所或 容易大面积触电的场所,如坑道内、锅炉内作业,应采用12V的安全电压。 1.1.1直接触电及其防护 直接触电又可分为单相触电和两相触电。两相触电非常危险,单相触电在电源中性点接地的情况下也是很危险的。其防护方法主要是对带电导体加绝缘、变电所的带电设备加隔离栅栏或防护罩等设施。 1.1.2间接触电及其防护 间接触电主要有跨步电压触电和接触电压触电。虽然危险程度不如直接触电的情况,但也应尽量避免。防护的方法是将设备正常时不带电的外露可导电部分接地,并装设接地保护 等。 1.2 接地与接零 电气设备的保护接地和保护接零是为了防止人体接触绝缘损坏的电气设备所引起的触电事故而采取的有效措施。 1.2.1保护接地 电气设备的金属外壳或构架与土壤之间作良好的电气连接称为接地。可分为工作接地和保护接地两种。 工作接地是为了保证电器设备在正常及事故情况下可靠工作而进行的接地,如三相四线制电源中性点的接地。 保护接地是为了防止电器设备正常运行时,不带电的金属外壳或框架因漏电使人体接触时发生触电事故而进行的接地。适用于中性点不接地的低压电网。 1.2.2保护接零 在中性点接地的电网中,由于单相对地电流较大,保护接地就不能完全避免人体触电的危险,而要采用保护接零。将电气设备的金属外壳或构架与电网的零线相连接的保护方式叫保护接零。

中等职业学校电工电子技术与技能教学大纲

附件7: 中等职业学校电工电子技术与技能教学大纲 一、课程性质与任务 本课程是中等职业学校非电类相关专业的一门基础课程。其任务是:使学生掌握非电类相关专业必备的电工电子技术与技能,培养非电类相关专业学生解决涉及电工电子技术实际问题的能力,为学习后续专业技能课程打下基础;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 使学生会观察、分析与解释电的基本现象,具备安全用电和规范操作常识;了解电路的基本概念、基本定律和定理;熟悉常用电气设备和元器件、电路的构成和工作原理及在实际生产中的典型应用;会使用电工电子仪器仪表和工具;能初步识读简单电路原理图和设备安装接线图,并能对电路进行调试、对简单故障进行排除和维修;初步具备查阅电工电子手册和技术资料的能力,能合理选用元器件。 结合生产生活实际,培养对电工电子技术的学习兴趣和爱好,养成自主学习与探究学习的良好习惯;通过参加电工电子实践活动,培养运用电工电子技术知识和工程应用方法解决生产生活中相关实际电工电子问题的能力;强化安全生产、节能环保和产品质量等职业意识,养成良好的工作方法、工作作风和职业道德。 三、教学内容结构 教学内容由基础模块和选学模块两部分组成。 1. 基础模块是各专业学生必修的基础性内容和应该达到的基本要求,教学时数为54学时。 2. 选学模块是适应不同专业需要,以及不同地域、学校的差异,满足学生个性发展的选学内容,选定后即为该专业的必修内容,教学时数不少于10学时。 3. 课程总学时数不少于64学时。

四、教学内容与要求 基础模块

相关主题