搜档网
当前位置:搜档网 › 八位二进制数字密码锁

八位二进制数字密码锁

八位二进制数字密码锁
八位二进制数字密码锁

EDA课程设计报告书

题目:八位二进制数字密码锁

姓名:陈佳伟

班级:12级通信1

学号: 1215104003

目录

一、摘要......................................................................................................... 错误!未定义书签。

二、引言......................................................................................................... 错误!未定义书签。三.总体设计原理与内容 (2)

1.设计要求 (2)

2.设计方案 (2)

四.单元电路设计 (3)

五.软件设计 (5)

六.系统测试 (6)

(1)仿真波形 (6)

(2)数据分析 (6)

七、设计总结 (7)

八、参考文献 (8)

一、摘要:

数字控制的电子密码锁已经广泛应用在办公室、公司、宾馆、小区住宅等场所。

EDA技术的应用引起了电子产品系统开发的革命性变革。利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在QUARTUS II 7.2开发软件下,利用VHDL硬件描述语言设计数字逻辑电路的过程和方法。

二、引言:

电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。一把电子锁可配制多把钥匙。语音方面的广泛应用,使得具有语音播放的电子密码锁使用起来更加方便。语音密码锁的体积小、保密性能好、使用方便,是用在保险箱、电话或是房门上不可少的部分。

数字集成技术和电子设计自动化(E1ectronic Design Automation,EDA)技术的发展迅速,数字系统设计的理论和方法也在相应地变化和发展着。应用可编程逻辑器件(Programmable Logic Device,PLD)实现数字系统的设计,是目前利用EDA技术设计数字系统的潮流。利用基于EDA技术的设计方法,设计者只需对系统功能进行描述,就可在EDA工具的帮助下完成系统设计。这种设计方法以数字系统设计软件为工具,将测试码或测试序列测试验证后,将系统实

现在PLD芯片或专业集成电路上,这样最大程度地缩短了设计和开发时间,降低了成本,提高了系统的可靠性。本文详细介绍了基于EDA 技术数字密码锁的设计过程。

三、总体设计原理与内容

1.设计要求

要求:8位二进制密码,串行输入;有开锁和错误指示(LED)。

编写模块的Verilog HDL语言的设计程序

在Quartus II 软件或其他EDA软件上完成设计和仿真

2.系统设计方案

方案1:用八个按钮作为输入,每个按钮输入一个二进制代码,

当八个按钮全部输入完成时,系统判断密码是否正确,如果正

确,将有led灯亮表示输入正确。

方案2:用一个按钮作为二进制代码的输入,再设计一个输入

set键用来输入按钮所表示的二级制代码,共输入八次,待密

码完全输入后判断密码是否正确,如果正确,led灯亮。

因为试验箱没有那么多按钮,最后决定按第二种方案设计电路。

四、单元电路设计

八位一位寄存器:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ywjcq IS

PORT(CLK ,DIN: IN STD_LOGIC;

DOUT: OUT STD_LOGIC);

END ywjcq;

ARCHITECTURE bhv OF ywjcq IS

SIGNAL REG : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN

PROCESS(CLK) BEGIN

IF CLK'EVENT AND CLK ='1' THEN

REG(6 DOWNTO 0)<=REG(7 DOWNTO 1); REG(7)<=DIN;

END IF;

END PROCESS;

PROCESS(REG) BEGIN

IF REG= "11100101" THEN

DOUT<='1';

ELSE DOUT<='0';

END IF;

END PROCESS;

END ARCHITECTURE bhv;

五、软件设计

电路原理图如下:

六、系统测试(1)仿真波形:

(2)数据分析

由仿真可以得知,在输入与预置密码相同的二进制代码是,会输出高电平,使led灯亮,符合设计要求。

七、设计总结

1、设计过程中遇到的问题及解决方法

在此次设计中,我自己的动手能力有了显著的提高。在软仿的时候一切都比较顺利,但硬仿的时候,却发现有一个不该报警的地方报警了,看程序也没错误,波形也没错误,刚开始怎么也找不出问题,后来我们感觉应该还是程序的问题,便从程序入手,在程序中又强调了一下,报警条件,结果硬仿正确了。所以说,实验就要不断的尝试,VHDL是一门语言,语言有些时候不仅仅是语法这么简单,只有在不断地调试中才能取得成功。

在本次设计中,其实我们做了两种方案。第二种方案没有详细提及,该方案采用的时动态扫描。做完第一种方案之后,我们又进行了第二种方案的仿真,在硬仿时发现数码管不能正确显示,出现了乱码现象。这让我们很是费解,软仿没有错误,试验箱也不可能有问题,这是什么原因呢?我们思索了很久,也做了许多尝试,都没有成功。后来忽然间看到模式指示数码管旁边有一个短路帽,这让我哦联想到电路实验中短路帽的转换,所以,我把短路帽从上方拿到了下方,结果就正确显示了。

还有一次,同学的显示怎么都成功不了,按了开始就变为0,完全看不到变化现象,我看了程序感觉没错,就觉得是不是时钟设置的问题,我把它的时钟频率调小之后,发现果真正确显示了。

2,设计体会

为期一周的EDA课程设计就要结束了,在这一周的实训中,我收获颇丰。在此次课程设计之前我对QUARTUS软件已经有了接触,但却没有很深的了解。在此次课程设计时我将梁老师上课的内容在复习理解的基础上有了深刻的理解,对VHDL语言也有了更加熟悉的认知。更重要的是对实验箱的功能及应用更熟练了。本次课程设计是运用VHDL语言将模拟电子技术基础和数字电子技术基础以及电工电子技术的内容相结合,在此次设计的过程中发现了自己对理论知识认识的不

足还有在动手操作方面还欠缺锻炼,因此我在此次课程设计的时候加深对老师所教的内容进一步复习,并且在上机练习的时候我就更加加强了对实践的重视。通过这次课程设计我还知道了在学习这条道路上我们不断要加强学习,还要有坚持不懈的学习精神。要将理论知识与实践相结合,要用理论指导实践,用实践来验证理论,让我们学于所用。

在这次设计中,我学到了很多东西,尝试到了成功的喜悦,但也有不足的地方,时钟有一点延时,虽然不影响效果,但若能考虑到延时问题,这样既会让所设计的器件的功能更加完善。报警采用的是光电报警,但若能再加上声报警就更完美了。

此次课程设计中我还更加体会到合作的重要性,要有协助精神,这样我们才能事半功倍!这次设计比较成功,但是由于时间关系,还是有一些做的不够好的地方。这次设计中得到了老师及同学们的大力帮助,在此衷心的感谢大家的指导与批评。

八、参考文献

[1]《数字电子技术基础》阎石主编高等教育出版社

[2] 《EDA技术与VHDL》[ M ]清华大学出版社,

[3] 康华光主编.《电子技术基础》(模拟部分).第四版.北京:高等教育出版社,2001

[4] 乔庐峰王志功《 VHDL数字电路设计教程》电子工业出版社。2005

[5] 网络资源计数器百度文库

数字密码锁

课程设计任务书 学生姓名:专业班级:通信1201 指导教师:工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字式电子锁的设计与实现摘要 现实中很多地方都用到锁,其实锁是一种保护功能。现代信息渠道的发展出现各种密码保护功能的信息保障,电子科技的发展使社会上又出现一种电子密码锁。电子密码锁是采用电子电路构成的,高集成度的电子密码锁可靠性高,保密性强,安全系数高。这些优良的特点使电子密码锁被广泛运用。在设计过程中,通过对电子密码锁功能的了解,经查找各方面的资料,再根据资料设计几种使其功能实现的方案。经过比较,选择一种最合适的方案,运用各种电子元器件组成一个完整的密码锁电路。 本次试验的电子密码锁利用了数字电子技术中所学的知识,其中包含了门电路的高效,抗干扰能力强等特性。整个电路分为密码输入电路,密码比较与存储电路,报警电路三块,利用了74ls194,74ls160,555定时器等数字电路中的典型元器件。 本实验利用的是multisim 12.0软件, Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。关键字: 数字密码锁 Multisim 数字电路

数字密码锁

数字密码锁

数字式密码锁 学院:南昌航空大学科技学院 专业:电子信息科学与技术 班级:0882081 学号:19 姓名:刘飞 指导老师: 2011年6月30日星期四

摘要 在生活中锁是不可缺少的必备用品,从普通的钥匙锁到银行中的保险柜的密码锁。它给生活带来极大的方便,但是在生活中小型的机械密码锁能够用于保存一些物品,但是,如果需要保存贵重物品时,大型的机械式密码锁结构复杂,体积比较庞大,不便使用在小型的贵重物品上,于是,小型的电子密码锁就能够为大家解决这些问题,在本文中介绍了由C51单片机为核心的数字电子密码锁,在此密码锁中,通过编写一个程序,在通过液晶显示器实现输入过程,在液晶显示器上,能够完成我们需要完成的一些要求,当输入的密码为设定的密码时,锁就会打开,当输入错误时,则系统就会提醒再次输入,当三次输入的密码全为错时,则系统就会报警,在输入正确的密码后,可通过功能键来修改需要修改的,例如,修改密码,进行推格,清屏等功能,能有效地保护所需要保存的物品。 关键词:电子密码锁,自动报警,密码验证,密码修改,单片机,液晶显示

目录 1绪 论 (2) 2设计内容及要求 (3) 3系统的设 计 (4) 3.1系统设计结构图 (4) 3.2系统的工作原理说明 (4) 4系统硬件设 计 (5) 4.1复位以及振荡电 路 (5) 4.2 4×4矩阵键 盘 (5) 4.3报警电 路 (6) 4.4液晶显示电 路 (6) 5电路程序设计 (7) 6课程设计心得体会 (14) 7参考文

献 (14) 附录1设计总体电路图 (15) 附录2数字密码锁源程序 (16) 一绪论 在日常的生活和工作中, 人们的住宅与各种部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。由于住宅各单位需要保护的与保存的并不需要那种大型的机械式钥匙式密码锁,若使用机械式钥匙开锁,人们常常需要携带多把钥匙,使用时需要寻找,极不方便,且钥匙容易丢失,钥匙丢失后,安全性即大打折扣。随着科学技术的发展,人们对日常生活中的安全保险要求越来越高,为了满足人们对锁的要求,且增加其锁的安全性与其方便性,不需要携带钥匙,用密码代替钥匙的密码锁越来越受到人们的喜爱。数字式密码锁具有成本低,安全系数高,容易操作等优点。随着生活的发展,人们对锁的要求是能够简单易行,需求成本低,使用灵活性好,使用安全系数高。数字式密码锁的设计相对合理,能够符合人们的住宅、办公室用锁要求,具有很好的推广价值,且数字式电子密码锁采取电子电路控制,

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

简易 位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

《数字密码锁》教学设计 兴隆县茅山联小贾慧雅

《数字密码锁》教学设计 兴隆县兴隆镇学区茅山联小贾慧雅 一、教学内容:冀教版《数学》六年级下册探索乐园51页、52页。 二、教学目标: 1.结合具体事例,经历探索数字密码编码规律的过程。 2.了解数字密码的编码规律,能解答简单数字编码的实际问题。 3.知道数字密码的用途,体会数学的价值,树立学好数学的信心。 三、教学重难点 教学重点:自主探索出数字密码的编码规律并能运用规律解决生活中的实际问题。 教学难点:推算出三位密码的组成规律及依据。 四、教学过程: (一)情境导入 1、利用学生感兴趣的谜语导入:一把缺口剑,佩带在腰间,若要进门去,门上转一转。由谜底是钥匙引入本节课内容:数字密码锁。 (预设:学生可能会提到指纹锁,毕竟现在很多手机都是指纹解锁,此时教师应予以肯定,如果学生没有提到数字密码锁,教师可以课件出示使用数字密码锁物品的相关图片进行提示。) 2、让学生寻找生活中哪些物品使用数字密码锁呢? (预设:保险柜、保险箱、旅行箱、手机等等。) (设计意图:让学生了解数学来源于生活,而又服务于生活。感受到数学与生活的密切联系。) 3、提出兔博士的问题:旅行箱上为什么采用数字密码锁? (设计意图:让学生明白数字密码锁存在的必要性及重要意义。)(二)探究新知,精讲点拨 1、两位密码:先研究一下比较简单的两位密码,假如密码锁的密码是由两个数字□□组成的,每格都可以出现0、1、 2、 3、 4、 5、

6、7、8、9十个数字。这样的密码锁一共有多少个密码呢? 用0打头时可以组成几个密码?学生可以在本上写一写。 用0打头,可以得到10个密码:00、01、02、03、04、05、06、07、08、09。 用1打头呢?也得到10个密码:10、11、12、13、14、15、16、17、18、19。 用2打头呢?按这样推算,十个数字就能组成100个密码,用算式表示就是10×10=100(个)。 2、三位密码:如果密码锁的密码是由三个数字□□□组成的,那么0、1、2、 3、 4、 5、 6、 7、 8、9十个数字就能组成1000个密码。 10×10×10=1000(个) 提出蓝灵鼠的问题:你能根据两个数组成100个密码推算出这个结果吗?试一试! (预设:●组成密码的数字都可以是0、1、2、3、4、5、6、7、8、9的十个数字。如果第一位数字是0,第二位数字是0,第三位数字是0、1、2、3、4、5、6、7、8、9,即:000、001、002、003、…009共10个密码。 如果第一位数字是0,第二位数字是1,第三位数字是0、1、2、3、4、5、6、7、8、9,即:010、011、012、013、…019共10个密码;……,所以第一位数字是0的密码共有10×10=100(个) 同样第一位数字是1,也有100个,第一位数字是2,也有100个,…第一位数字是9,也有100个,所以由三个数字组成的密码共有10×10×10=1000(个) ●用0、1、2、3、4、5、6、7、8、9可以组成100个两个数字的密码,在每个密码后面再加一个数字,都能组成10个密码,所以一共可以组成100×10=1000(个) ●用0、1、2、3、4、5、6、7、8、9十个数字中任一个数打头,

基于单片机数字密码锁的设计要点

摘要 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 单片机也被称微控器,是因为它最早被用在工业控制领域。单片机是靠程序运行的,并且可以修改。 本设计系统主机采用8052单片机,MCS-51单片机的程序存储器和数据存储器的地址空间是相互独立的,而且程序存储器一般为ROM或EPROM,只能读出不能写入。扩展用的程序存储器芯片大多采用EPROM芯片,最大可扩展到64K字节。该设计使用矩阵键盘输入。LED数码管显示输入密码,用74HC245驱动数码管发光显示数码,LCD1602控制显示。密码正确,二极管发光。输入密码错误次数超过三次系统报警,蜂鸣器发出报警音。 关键词:单片机软件电路硬件电路

目录 第一章设计要求 (1) 第二章系统组成及工作原理 (2) 第三章硬件电路设计 (3) 3.1 STC89C52单片机的介绍 (3) 3.2单片机最小系统 (5) 3.3键盘电路设计 (6) 3.4 LCD1602显示电路 (8) 3.5开锁电路 (11) 3.6报警电路 (11) 3.7仿真效果图 (12) 第四章软件设计 (13) 4.1 PROTEUS仿真软件 (13) 4.2 KEIL编译设计 (15) 4.3 普中ISP自动下载软件 (16) 4.4程序流程图 (18) 第五章设计、调试和测试结果与分析 (19) 第六章设计小结 (23) 参考文献 (24) 附录 (25)

第一章设计要求 采用单片机、LCD等芯片,设计电子密码锁,能随时修改密码,具有防多次试探功能,连续输入密码达到一定次数,发出光声报警密码输入错误时有报警功能,连续输入3次错误,键盘自锁,等待管理解锁;开锁后或修改密码后可以选择退出,返回开锁前状态。掌握Proteus软件的基本应用,用于设计与仿真,需要用PROTEUS软件绘制电路原理图及局部原理图;掌握单片机编程语言,可选用汇编语言或C语言; 本次课程设计是要设计一个数字密码锁,设计要求如下: 1、设计一个数字式密码锁。 2、密码由4 – 6位数字组成。 3、密码相符开锁,三次不符报警。 4、密码可以更新。

数字电路设计实验-简易密码锁

数字电路设计实验报告 ——简易密码锁 学院: 班级: 学号: 姓名:

目录 ●任务要求 ●系统设计 ?设计思路 ?总体框图 ?分块设计 ●波形仿真及波形分析●源代码 ●功能分析 ●故障分析及问题解决●总结及结论

●任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。 3、自拟其它功能。 ●系统设计 设计思路 将电子密码锁系统分为三个部分来进行设计,数字密码输入部分、密码锁控制电路和密码锁显示电路。密码锁输入电路包括时序产生电路,键盘扫描电路,键盘译码电路等,将用

户手动输入的相关密码信息转换为软件所能识别的编码,作为整个电路的输入。密码锁控制电路包括相应的数据存储电路,密码核对电路,能够进行数值的比较,进行电路解锁,开锁,密码的重新设置等。密码锁显示电路包括将待显示数据的BCD 码转换成数码管的七段显示驱动编码,密码锁在相应的状态下的点阵输出以及蜂鸣器的报警输出。 总体框图 按复位键 键入初始密码0000 密码错误 密码正确 按确认键 按复位键 按确认键 密码锁显示电路 密码锁控制电路 数码管显示 报警电路 密码更改与密码设计电路 键入状态 闭锁状态 开锁状态 报警状态

数字密码锁设计

数字密码锁设计 一、设计任务与要求 设计由编码器、集成逻辑门电路、声光报警指示电路构成的密码锁电路,研究门电路的接口与驱动技术、学习组合逻辑电路的设计方法;用Proteus 软件仿真;实验测试逻辑功能。具体要求如下: (1)密码锁电路由密码输入电路、密码设置电路和密码控制电路组成,密码输入及密码设置均采用十进制数形式,密码输入通过键盘或按键输入。密码设置通过开关输入。 (2)如果输入的密码与预先设定的密码相同,则保险箱被打开,密码控制电路的输出端E =1,F=0;否则电路发出声、光报警信号,即输出端E=0,F=1。 (3)实验时,“锁被打开”的状态可用绿色发光二极管指示;声、光报警可分别用红色发光二极管及蜂鸣器指示。 (4)写出设计步骤,画出最简的逻辑电路图。 (5)对设计的电路进行仿真、修改,使仿真结果达到设计要求。 (6)安装并测试电路的逻辑功能。 (7)拓展内容:如果考虑一个开锁用的钥匙插孔输入端G,当开锁时(G=1),密码输入才有效,试在上述电路基础上修改该电路。 二、课题分析及设计思路 (1)密码输入电路及密码设置电路的设计思路 由于密码输入及密码设置均采用十进制数形式,故可利用8421BCD 码编码器分别实现,以一位密码输入及密码设置为例,其实现框图如下: 图1 密码输入及密码设置电路的实现框图 (2)密码控制电路的设计思路 分析以上设计任务与要求,密码控制电路的实现框图如下:

图2 密码控制电路实现框图 很容易得到:)()()()(1111D D C C B B A A F E ⊕?⊕?⊕?⊕== 由上述逻辑表达式可确定相应的逻辑电路图。 (3)TTL 集成门电路与LED 发光二极管的接口电路设计 TTL 集成门电路除了可驱动门电路外,还能驱动一些其它器件如LED 发光二极管。以集成反相器为例,有如下两种情况如图3(a )、(b)所示: 图3(a ) 输出高电平时LED 亮 图3 (b) 输出低电平时LED 亮 电路中串接的电阻R1、R2 为限流电阻,其作用是保护LED 因过流而烧坏。其大小按如下公式进行选择: D F OH I V V R -=1 D OL F CC I V V V R --=2 上述两式中,I D 为LED 正常发光时的电流,V F 为LED 导通电压,V OH 、V OL 分别为反相器的高、低电平输出电压。如I D =5mA ,V F =2.2V ,V OH =3.4V ,V OL =0.2V 时,算得R1=240欧,R2=520 欧。 注意:① 图3(a )接法时,发光二极管的电流不能超过门电路的“最大拉电流”,图3(b) 接法时,发光二极管的电流不能超过门电路的“最大灌电流”,否则会导致输出电平的 混乱。当然,如果该门电路处于整个逻辑电路的最末端,则发光二极管的电流可不受此限制。

数字电路课程设计之数字密码锁电路设计

图1 数字式电子锁原理框图 课程设计任务书 学生姓名: 张浩然 专业班级: 通信1105班 指导教师: 李政颖 工作单位: 信息工程学院 题 目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可 以使用单片机系统构建数字密码电子锁。自行设计所需工 作电源。电路组成原理框图如图1,数字密码锁的实际锁 体一般由电磁线圈、锁栓、弹簧和锁柜构成。当线圈有电 流时,产生磁力,吸动锁栓,即可开锁。反之则不开锁。 要求完成的主要任务: 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED 代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、 年 月 日至 年 月 日,方案选择和电路设计。 3、 年 月 日至 年 月 日,电路调试和设计说明书撰写。 4、 2013年 7月 5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日

14位数字密码锁两种设计方案

设计方案 系统设计方案 利用所学的电子技术知识和电子设计方法,设计出一个电子密码锁有以下两种基本方案可以选择: 方案一:利用数字逻辑电路,运用各种门电路,计数器,触发器,锁存器,编/译码器等数字逻辑器件实现电子控制。从而实现想要设计的电子密码锁的功能。此方法设计简单,但硬件电路比较多,操作起来比较复杂。 方案二:使用MCS-51系列单片机为核心控制附加一些简单的外围电路,利用单片机的一个I/O端口组成4×4键盘作为输入电路,采用汇编语言编写程序来实现电子密码锁的各项功能,程序语言功能强大,调试较为简单。具有很强的实用性。 设计方案选择 综上提出的两种方案,方案一给出的采用数字逻辑电路的设计方法的好处就是设计简单,因为采用数字逻辑电路可以分成各个功能模块来设计,每个模块实现各自的一个功能。这钟方法设计的密码锁电路大致包含:按键输入、密码核对、密码修改、开锁电路、错误提示电路等功能模块。采用数字电路虽然设计简单但是操作繁琐,要运用很多数字逻辑器件,硬件电路复杂,而且可能会出现较多器件故障,同时难以检查和维护。方案二提出的使用单片机为核心控制的方案,利用单片机丰富的I/O端口和灵活的编程设计,不但能实现密码锁的功能,而且控制准确性高,外围电路少硬件电路简单,方便灵活,调试简单不易出错,体积小成本低也利于现实中实现,具有较高的实际意义和实用价值。这个设计方案的最关键的地方就在于编程,利用程序的执行来实现密码锁的基本功能,因此单片机方案还有较大活动空间,能在很大程度上扩展功能,方便对系统进行升级。 针对第一种方案:系统vhdl设计 功能描述: 假设设计的密码锁有7个数据输入键,分别用K1到K7表示;一个“确认键”(按一下确认键,密码锁内部就产生一个正脉冲),用CLK_AFFIRM表示;一个“重置和修改密码使能键”,用S/W 表示;一个开锁状态指示灯GREENLED;一个密码输入错误报警器REDLED. 1.密码预置。未使用过的密码锁初始状态为“打开”,内部密码为随机数,故使用前必须进行密码 预置。通过按键将S/W调为“1”,密码锁进入密码预置模式,按照K1~K7→确认键→K1~K7→确认键的顺序输入想要设置的密码,其中K1~K7表示的意思是,给K1到K7赋值,赋值顺序可任意改变,但一旦按下确认键,K1到K7分别对应的数值便不可改变。整个过程中只要按下两次确认键,密码预置便成功完成。 2.密码验证。通过按键将S/W调为“0”,密码锁进入密码验证模式。按照K1~K7→确认键→K1~K7 →确认键的顺序输入密码,如果密码与预置的密码(如果修改过密码,则与最后一次修改成功后的密码)相匹配,开锁指示灯GREENLED变亮,同时锁子被打开。如果没反应,需要重新输入密码,但是输入密码的次数最多为3次,如果3次都输入了错误密码,那么错误报警器REDLED 就会报警,如果继续输入了正确密码,报警器就会解除警报。 3.密码修改。用户必须提供正确的密码后方能进入密码修改模式,否则,修改无效。如果用户通 过了密码验证,那么此时将S/W调为“1”便可顺利进入密码修改模式。修改密码过程与重置类

4位电子密码锁设计

电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 本系统由STC89C52单片机系统(主要是STC89C52单片机最小系统)、4×4矩阵键盘、LCD1602显示和报警系统等组成,具有设置、修改4位电子密码、连续输入三次密码错误报警等功能。 关键词:STC89C52;LCD1602;4×4矩阵键盘;4位电子密码锁

In daily life and work, for security reasons, the department of housing and security, unit documents, financial statements and some personal information to save more in order to lock the ways to solve. Locking method with the traditional mechanical lock and emerging electronic combination lock. Compared with the traditional mechanical lock, because the electronic combination lock, the secrecy good, the large amount of programming, password variable, can call the police and other characteristics, so the performance and security of the electronic combination lock far from traditional mechanical lock. Electronic combination lock is a password or to control circuit, so as to control mechanical switches closed, lock, lock task of electronic products. It's a lot of more phyletic, has simple circuit products, also has a high cost performance products based on the chip. Widely used electronic coded lock based on chip as the core, through the programming. Key words: STC89C52; LCD1602; Electronic password lock; 4×4 keyboard

数字电路数字密码锁设计

哈尔滨师范大学 学年论文 题目:数字密码锁设计 学生:董爽 指导教师:李晶讲师 年级:2006级 专业:计算机科学与技术 系别:计算机科学系 学院:计算机科学与信息工程学院 哈尔滨师范大学 08年6月

论文提要 当今社会,信息技术飞速发展,世界各国都致力于发展信息技术,信息技术的竞争已演变为国家间综合国力的竞争。21世纪是信息技术、生物技术和材料科学技术为主体的社会随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,数字密码锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 本文的电子密码锁主要是通过对数字逻辑电路中对门控制,来实现对用户输入的密码进行系统检测,只有系统检测正确后,用户才可能进行密码修改等一些其它操作。如果40内或3次的密码输入不正确则系统会自动报警3次及锁定使得用户在一定时间内无法进行其它操作。并且有各种附加电路保证电路能够安全工作,有极高的安全系数。

数字密码锁设计 董爽 摘要:随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。本设计构思是用以74LS112双JK触发器构成的数字逻辑电路控制方案。 关键词:电子密码锁电压比较器 555单稳态电路 JK触发器 UPS电源 当今社会,信息技术飞速发展,世界各国都致力于发展信息技术,信息技术的竞争已演变为国家间综合国力的竞争。21世纪是信息技术、生物技术和材料科学技术为主体的社会随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,数字密码锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 电子电路设计常用的方法是试验设计法,一般都包括设计方案提出、方案验证、方案修改3个阶段。传统的试验设计法通常采用手工搭接实验电路来完成,往往需要经过试验和修改的反复过程,直到设计出正确的电路。本设计数字密码锁共为用户设下了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作. 由开锁及延时保持电路、错键闭锁电路、主控制电路、除错控制电路、继电器开关电路、开锁显示电路等组成。输入密码时必须按一定的顺序输入,并且要在规定时间内输入完,否则,即使输对密码也开不了锁。另外,该锁还具有错键闭锁和消除错键的功能。 一,设计分析 根据所选的设计题目,进行思路规化如图1:

简单六位数字密码锁

目录 第1章绪论 (1) 1.1 设计任务 (1) 1.2 设计要求 (1) 第2章系统方案设计 (2) 2.1 硬件设计思想 (2) 2.2软件设计思想 (3) 第3章电路设计 (4) 3.1 电路原理 (4) 3.2 电路原理图 (4) 第4章程序设计 (5) 4.1流程图 (5) 4.2 算法描述 (5) 第5章程序清单与仿真结果 (6) 5.1 程序清单 (6) 5.2 仿真结果 (14) 第6章设计总结 (17) 6.1 设计体会 (17) 参考文献 (18) 致谢 (19) 实物展示.................................... 错误!未定义书签。

第1章绪论 1.1 设计任务 (1)设置七个键位,分别是确认,数字1,数字2,数字3,数字4,数字5,数字6,工作有提示(设通电状态为红灯亮)。 (2)在单片机内部预设六位密码,在使用密码解锁器时,要按预设的密码依次输入才能完成解锁。预设密码以外的数字都不能解开密码锁(如输入非6位或输入6位与预设密码不同的数字)。 (3)输入密码时,数码管同步显示输入的数字。 (4)输入正确后,有解锁提示(设为绿灯亮),输入错误后也有提示(设为红灯闪烁和鸣笛警告) (5)输入错误后可以重输,要有复位功能。 1.2 设计要求 利用AT89S52单片机的P2端口的P2.0--P2.6连接到7个按键开关上,分别是输入键数字1,数字2,数字3,数字4,数字5,数字6和确认键,P2.7接蜂鸣器。启动时,按下电源开关,红色指示灯长亮,输入密码,而数码管显示输入的相应数字,然后按下确认键,若密码正确,绿灯亮,数码管熄灭,弱密码错误,红灯闪烁,蜂鸣器响,发出警报。

4位数字密码锁的设计

1技术指标? 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B:进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。当输入端与

方案二:用4个异或门连接输入端,并分别于反相器连接,再相与。当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。其电路图如图6.1 3Proteus软件介绍 Proteus软件是来自英国Labcenterelectronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。?Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产

相关主题